Alexandre Yakovlev

Orcid: 0000-0003-0826-9330

Affiliations:
  • Newcastle University, Newcastle Upon Tyne, UK


According to our database1, Alexandre Yakovlev authored at least 396 papers between 1985 and 2025.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2017, "For contributions to theory and design of asynchronous circuits".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2025
Dynamic Tsetlin Machine Accelerators for On-Chip Training Using FPGAs.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2025

Event-Driven Digital-Time-Domain Inference Architectures for Tsetlin Machines.
CoRR, November, 2025

Fast and Compact Tsetlin Machine Inference on CPUs Using Instruction-Level Optimization.
CoRR, October, 2025

A Tsetlin Machine Image Classification Accelerator on a Flexible Substrate.
CoRR, October, 2025

Uncertainty Quantification in the Tsetlin Machine.
CoRR, July, 2025

Dynamic Tsetlin Machine Accelerators for On-Chip Training at the Edge using FPGAs.
CoRR, April, 2025

Tsetlin Machine-Based Image Classification FPGA Accelerator With On-Device Training.
IEEE Trans. Circuits Syst. I Regul. Pap., February, 2025

An All-digital 65-nm Tsetlin Machine Image Classification Accelerator with 8.6 nJ per MNIST Frame at 60.3k Frames per Second.
CoRR, January, 2025

Runtime Tunable Tsetlin Machines for Edge Inference on eFPGAs.
Proceedings of the IEEE Sensors Applications Symposium, 2025

A Hybrid Chip System Design for Visual Prosthetics.
Proceedings of the IEEE Sensors Applications Symposium, 2025

ETHEREAL: Energy-efficient and High-throughput Inference using Compressed Tsetlin Machine.
Proceedings of the 10th International Workshop on Advances in Sensors and Interfaces, 2025

Asynchronous Design of a Bitwise Elimination Argmax via High-Level Modeling in GraphRack.
Proceedings of the 29th IEEE International Symposium on Asynchronous Circuits and Systems, 2025

Efficient FPGA Implementation of Time-Domain Popcount for Low-Complexity Machine Learning.
Proceedings of the 29th IEEE International Symposium on Asynchronous Circuits and Systems, 2025

Distributed Places and Safe Net Reduction.
Proceedings of the Application and Theory of Petri Nets and Concurrency, 2025

2024
An Event-Driven Approach to Genotype Imputation on a Custom RISC-V Cluster.
IEEE ACM Trans. Comput. Biol. Bioinform., 2024

IMPACT:InMemory ComPuting Architecture Based on Y-FlAsh Technology for Coalesced Tsetlin Machine Inference.
CoRR, 2024

In-Memory Learning Automata Architecture using Y-Flash Cell.
CoRR, 2024

Computing with Clocks.
CoRR, 2024

An Asynchronous Winner-Takes-All Arbitration Architecture for Tsetlin Machine Acceleration.
Proceedings of the 22nd IEEE Interregional NEWCAS Conference, 2024

MATADOR: Automated System-on-Chip Tsetlin Machine Design Generation for Edge Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024

Bridging the Design Methodologies of Burst-Mode Specifications and Signal Transition Graphs.
Proceedings of the 29th Asia and South Pacific Design Automation Conference, 2024

Design of Event-Driven Tsetlin Machines Using Safe Petri Nets.
Proceedings of the Application and Theory of Petri Nets and Concurrency, 2024

2023
Approximate digital-in analog-out multiplier with asymmetric nonvolatility and low energy consumption.
Integr., November, 2023

REDRESS: Generating Compressed Models for Edge Inference Using Tsetlin Machines.
IEEE Trans. Pattern Anal. Mach. Intell., September, 2023

Burst Automaton: Framework for Speed-Independent Synthesis Using Burst-Mode Specifications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2023

A multi-step finite-state automaton for arbitrarily deterministic Tsetlin Machine learning.
Expert Syst. J. Knowl. Eng., May, 2023

Convolutional Tsetlin Machine-based Training and Inference Accelerator for 2-D Pattern Classification.
Microprocess. Microsystems, 2023

Contracting Tsetlin Machine with Absorbing Automata.
CoRR, 2023

An FPGA Architecture for Online Learning using the Tsetlin Machine.
CoRR, 2023

Energy-frugal and Interpretable AI Hardware Design using Learning Automata.
CoRR, 2023

An Event-Driven Approach To Genotype Imputation On A Custom RISC-V FPGA Cluster.
CoRR, 2023

Finite State Automata Design using 1T1R ReRAM Crossbar.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Variable Duty Cycle Pulse Generation for Low Complexity Randomization in Machine Learning.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

A Multi-Modal Stimulator System for Visual Prosthesis.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

A Non-volatile State Retention Unit for Multi-storage Energy Management in Transient Systems.
Proceedings of the 9th International Workshop on Advances in Sensors and Interfaces, 2023

IMBUE: In-Memory Boolean-to-CUrrent Inference ArchitecturE for Tsetlin Machines.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023

Asynchronous Control for Tsetlin Machine with Binary Memristor-Transistor Array.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Logic-Based Machine Learning with Reproducible Decision Model Using the Tsetlin Machine.
Proceedings of the 12th IEEE International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, 2023

A Rapid Reset 8-Transistor Physically Unclonable Function Utilising Power Gating.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
Amplitude-Controlled Electromagnetic Pulse Switching Using Waveguide Junctions for High-Speed Computing Processes.
Adv. Intell. Syst., December, 2022

Practical Distributed Implementation of Very Large Scale Petri Net Simulations.
Trans. Petri Nets Other Model. Concurr., 2022

Synchronization in graph analysis algorithms on the Partially Ordered Event-Triggered Systems many-core architecture.
IET Comput. Digit. Tech., 2022

Automated Mapping of Asynchronous Circuits on FPGA under Timing Constraints.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022

Editable asynchronous control logic for SAR ADCs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Automated Synthesis of Asynchronous Tsetlin Machines on FPGA.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

Non-deterministic event brokered computing.
Proceedings of the HEART 2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba, Japan, June 9, 2022

Formal Modelling of Burst-Mode Specifications in a Distributed Environment.
Proceedings of the Forum on Specification & Design Languages, 2022

Runtime Energy Minimization of Distributed Many-Core Systems using Transfer Learning.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

Slimming down Petri Boxes: Compact Petri Net Models of Control Flows.
Proceedings of the 33rd International Conference on Concurrency Theory, 2022

Avoiding Exponential Explosion in Petri Net Models of Control Flows.
Proceedings of the Application and Theory of Petri Nets and Concurrency, 2022

2021
Asynchrony and persistence in reaction systems.
Theor. Comput. Sci., 2021

CORAL: Verification-Aware OpenCL Based Read Mapper for Heterogeneous Systems.
IEEE ACM Trans. Comput. Biol. Bioinform., 2021

Power density aware application mapping in mesh-based network-on-chip architecture: An evolutionary multi-objective approach.
Integr., 2021

QoS-Aware Power Minimization of Distributed Many-Core Servers using Transfer Q-Learning.
CoRR, 2021

Low-Power Audio Keyword Spotting using Tsetlin Machines.
CoRR, 2021

Run-time Configurable Approximate Multiplier using Significance-Driven Logic Compression.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

Optimized Multi-Memristor Model based Low Energy and Resilient Current-Mode Multiplier Design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Low-Latency Asynchronous Logic Design for Inference at the Edge.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

PLEDGER: Embedded Whole Genome Read Mapping using Algorithm-HW Co-design and Memory-aware Implementation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Synthesis of SI Circuits from Burst-Mode Specifications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Self-timed Reinforcement Learning using Tsetlin Machine.
Proceedings of the 27th IEEE International Symposium on Asynchronous Circuits and Systems, 2021

Towards Hazard-Free Multiplexer Based Implementation of Self-Timed Circuits.
Proceedings of the 27th IEEE International Symposium on Asynchronous Circuits and Systems, 2021

2020
Bootstrapped Driver and the Single-Event-Upset Effect.
IEEE Trans. Circuits Syst., 2020

Automating the Design of Asynchronous Logic Control for AMS Electronics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Advance Interconnect Circuit Modeling Design Using Fractional-Order Elements.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

PARMA: Parallelization-Aware Run-Time Management for Energy-Efficient Many-Core Systems.
IEEE Trans. Computers, 2020

Amdahl's law in the context of heterogeneous many-core systems - a survey.
IET Comput. Digit. Tech., 2020

A Novel Multi-step Finite-State Automaton for Arbitrarily Deterministic Tsetlin Machine Learning.
Proceedings of the Artificial Intelligence XXXVII, 2020

Dynamics of Time-Domain Power-Elastic Circuits for Pervasive Machine Learning.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Toward Designing Thermally-Aware Memristance Decoder.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Explainability and Dependability Analysis of Learning Automata based AI Hardware.
Proceedings of the 26th IEEE International Symposium on On-Line Testing and Robust System Design, 2020

Empirical Temperature Model of Self-Directed Channel Memristor.
Proceedings of the 2020 IEEE Sensors, Rotterdam, The Netherlands, October 25-28, 2020, 2020

Self-Amplifying Current-Mode Multiplier Design using a Multi-Memristor Crossbar Cell Structure.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

From Arithmetic to Logic based AI: A Comparative Analysis of Neural Networks and Tsetlin Machine.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

Current-Mode Carry-Free Multiplier Design using a Memristor-Transistor Crossbar Architecture.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

REPUTE: An OpenCL based Read Mapping Tool for Embedded Genomics.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Handshake Verification in WORKCRAFT.
Proceedings of the 26th IEEE International Symposium on Asynchronous Circuits and Systems, 2020

2019
Asynchronous Circuit Design and its Applications: Past, Present and Future (NII Shonan Meeting 133).
NII Shonan Meet. Rep., 2019

Self-timed, minimum latency circuits for the internet of things.
Integr., 2019

Ultra-low power <i>m</i>-sequence code generator for body sensor node applications.
Integr., 2019

Neural Network Design for Energy-Autonomous AI Applications using Temporal Encoding.
CoRR, 2019

Performance Analysis of MICS-Based RF Wireless Power Transfer System for Implantable Medical Devices.
IEEE Access, 2019

Modelling Reversion Loss and Shoot-through Current in Switched-Capacitor DC-DC Converters with Petri Nets.
Proceedings of the 29th International Symposium on Power and Timing Modeling, 2019

A Pulse Width Modulation based Power-elastic and Robust Mixed-signal Perceptron Design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Generalised Asynchronous Arbiter.
Proceedings of the 19th International Conference on Application of Concurrency to System Design, 2019

Living Lattices.
Proceedings of the Carl Adam Petri: Ideas, Personality, Impact, 2019

2018
Speedup and Power Scaling Models for Heterogeneous Many-Core Systems.
IEEE Trans. Multi Scale Comput. Syst., 2018

Network-on-Chip Multicast Architectures Using Hybrid Wire and Surface-Wave Interconnects.
IEEE Trans. Emerg. Top. Comput., 2018

High-Level Asynchronous Concepts at the Interface Between Analog and Digital Worlds.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Real-Power Computing.
IEEE Trans. Computers, 2018

Significance-Driven Logic Compression for Energy-Efficient Multiplier Design.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Modelling Switched-Capacitor DC-DC Converters with Signal Transition Graphs.
Proceedings of the 15th International Conference on Synthesis, 2018

Model-Free Runtime Management of Concurrent Workloads for Energy-Efficient Many-Core Heterogeneous Systems.
Proceedings of the 28th International Symposium on Power and Timing Modeling, 2018

MEMS-Based Runtime Idle Energy Minimization for Bursty Workloads in Heterogeneous Many-Core Systems.
Proceedings of the 28th International Symposium on Power and Timing Modeling, 2018

Overview study of on-chip interconnect modelling approaches and its trend.
Proceedings of the 7th International Conference on Modern Circuits and Systems Technologies, 2018

An Excitation Time Model for General-purpose Memristance Tuning Circuit.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Design and Verification of Speed-Independent Circuits with Arbitration in Workcraft.
Proceedings of the 24th IEEE International Symposium on Asynchronous Circuits and Systems, 2018

Loadable Kessels Counter.
Proceedings of the 24th IEEE International Symposium on Asynchronous Circuits and Systems, 2018

2017
A Resilient 2-D Waveguide Communication Fabric for Hybrid Wired-Wireless NoC Design.
IEEE Trans. Parallel Distributed Syst., 2017

A Structured Visual Approach to GALS Modeling and Verification of Communication Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Optogenetics in Silicon: A Neural Processor for Predicting Optically Active Neural Networks.
IEEE Trans. Biomed. Circuits Syst., 2017

Voltage, Throughput, Power, Reliability, and Multicore Scaling.
Computer, 2017

Energy-efficient approximate wallace-tree multiplier using significance-driven logic compression.
Proceedings of the 2017 IEEE International Workshop on Signal Processing Systems, 2017

Modelling for Systems with Holistic Fault Tolerance.
Proceedings of the Software Engineering for Resilient Systems - 9th International Workshop, 2017

Power proportional adder design for Internet of Things in a 65 nm process.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

Pulse controlled memristor-based delay element.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

Approximate adder segmentation technique and significance-driven error correction.
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017

Asynchronous Arbitration Primitives for New Generation of Circuits and Systems.
Proceedings of the New Generation of CAS, 2017

Unconventional Layout Techniques for a High Performance, Low Variability Subthreshold Standard Cell Library.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Ultra-Low Energy Data Driven Computing Using Asynchronous Micropipelines and Nano-Electro-Mechanical Relays.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Speedup and Parallelization Models for Energy-Efficient Many-Core Systems Using Performance Counters.
Proceedings of the 2017 International Conference on High Performance Computing & Simulation, 2017

Programming Model to Develop Supercomputer Combinatorial Solvers.
Proceedings of the 46th International Conference on Parallel Processing Workshops, 2017

Architecting Holistic Fault Tolerance.
Proceedings of the 18th IEEE International Symposium on High Assurance Systems Engineering, 2017

Language and hardware acceleration backend for graph processing.
Proceedings of the 2017 Forum on Specification and Design Languages, 2017

Elmore delay in the fractional order domain.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Self-timed control of multiphase switched capacitor converters.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Multiphase ternary Fibonacci 2D switched capacitor converters.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Lifetime reliability characterization of N/MEMS used in power gating of digital integrated circuits.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017

Benefits of asynchronous control for analog electronics: Multiphase buck case study.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Energy-efficient approximate multiplier design using bit significance-driven logic compression.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Advances in Formal Methods for the Design of Analog/Mixed-Signal Systems: Invited.
Proceedings of the 54th Annual Design Automation Conference, 2017

Significance-driven adaptive approximate computing for energy-efficient image processing applications: special session paper.
Proceedings of the Twelfth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis Companion, 2017

WAITX: An Arbiter for Non-persistent Signals.
Proceedings of the 23rd IEEE International Symposium on Asynchronous Circuits and Systems, 2017

Elastic Bundles: Modelling and Synthesis of Asynchronous Circuits with Granular Rigidity.
Proceedings of the 23rd IEEE International Symposium on Asynchronous Circuits and Systems, 2017

Waveform Transition Graphs: A Designer-Friendly Formalism for Asynchronous Behaviours.
Proceedings of the 23rd IEEE International Symposium on Asynchronous Circuits and Systems, 2017

Synthesis and optimization of asynchronous dual rail encoded circuits based on partial acknowledgement.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Selective Abstraction for Estimating Extra-Functional Properties in Networks-on-Chips Using ArchOn Framework.
Proceedings of the 17th International Conference on Application of Concurrency to System Design, 2017

Formal Design and Verification of an Asynchronous SRAM Controller.
Proceedings of the 17th International Conference on Application of Concurrency to System Design, 2017

2016
Design of Mixed-Signal Systems With Asynchronous Control.
IEEE Des. Test, 2016

Subthreshold-based m-sequence code generator for ultra low-power body sensor nodes.
Proceedings of the 26th International Workshop on Power and Timing Modeling, 2016

A fixed window Level Crossing ADC with activity dependent power dissipation.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

Design of a DCO based on worst-case delay of a self-timed counter and a digitally controllable delay path.
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016

Power-Aware Performance Adaptation of Concurrent Applications in Heterogeneous Many-Core Systems.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

MEMS-based power delivery control for bursty applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

A smart all-digital charge to digital converter.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Stacking voltage-controlled oscillators: Analysis and application.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Energy efficient bootstrapped CMOS inverter for ultra-low power applications.
Proceedings of the 2016 IEEE International Conference on Electronics, Circuits and Systems, 2016

Selective abstraction and stochastic methods for scalable power modelling of heterogeneous systems.
Proceedings of the 2016 Forum on Specification and Design Languages, 2016

Challenges and opportunities in research and education of heterogeneous many-core applications.
Proceedings of the 11th European Workshop on Microelectronics Education, 2016

Low power voltage sensing through capacitance to digital conversion.
Proceedings of the 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2016

Formal verification of clock domain crossing using gate-level models of metastable flip-flops.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Fast capacitance-to-digital converter with internal reference.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

On structuring holistic fault tolerance.
Proceedings of the 15th International Conference on Modularity, 2016

2015
Power-Adaptive Computing System Design for Solar-Energy-Powered Embedded Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Design of Self-Timed Reconfigurable Controllers for Parallel Synchronization via Wagging.
IEEE Trans. Very Large Scale Integr. Syst., 2015

On hyperbolic laws of capacitor discharge through self-timed digital loads.
Int. J. Circuit Theory Appl., 2015

Persistent and Nonviolent Steps and the Design of GALS Systems.
Fundam. Informaticae, 2015

Engineering Cross-Layer Fault Tolerance in Many-Core Systems.
Proceedings of the Software Engineering for Resilient Systems - 7th International Workshop, 2015

A Formal Specification and Prototyping Language for Multi-core System Management.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015

Wideband dynamic voltage sensing mechanism for EH systems.
Proceedings of the 25th International Workshop on Power and Timing Modeling, 2015

Novel Hybrid Wired-Wireless Network-on-Chip Architectures: Transducer and Communication Fabric Design.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

An elastic timer for wide dynamic working range.
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015

Compositional design of asynchronous circuits from behavioural concepts.
Proceedings of the 13. ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2015

On the Design of Reliable Hybrid Wired-Wireless Network-on-Chip Architectures.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

A least squares method applied to multiphase switched capacitor converters.
Proceedings of the European Conference on Circuit Theory and Design, 2015

Mixed wire and surface-wave communication fabrics for decentralized on-chip multicasting.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

GALS synthesis and verification for xMAS models.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Design and Verification of Speed-Independent Multiphase Buck Controller.
Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015

Opportunistic Merge Element.
Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015

Order Graphs and Cross-Layer Parametric Significance-Driven Modelling.
Proceedings of the 15th International Conference on Application of Concurrency to System Design, 2015

2014
Eliminating Synchronization Latency Using Sequenced Latching.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Thermal Optimization in Network-on-Chip-Based 3D Chip Multiprocessors Using Dynamic Programming Networks.
ACM Trans. Embed. Comput. Syst., 2014

Synthesis of Processor Instruction Sets from High-Level ISA Specifications.
IEEE Trans. Computers, 2014

Modeling and Tools for Power Supply Variations Analysis in Networks-on-Chip.
IEEE Trans. Computers, 2014

Design and Implementation of Dynamic Thermal-Adaptive Routing Strategy for Networks-on-Chip.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014

A scalable physical model for Nano-Electro-Mechanical relays.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

Asynchronously assisted FPGA for variability.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

Asynchronous design for new on-chip wide dynamic range power electronics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

ArchOn: Architecture-open Resource-driven Cross-layer Modelling Framework.
Proceedings of the International Workshop on Engineering Simulations for Cyber-Physical Systems, 2014

Hybrid wire-surface wave architecture for one-to-many communication in networks-on-chip.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Network on Chip optimization based on surrogate model assisted evolutionary algorithms.
Proceedings of the IEEE Congress on Evolutionary Computation, 2014

GALS Partitioning by Behavioural Decoupling Expressed in Petri Nets.
Proceedings of the 20th IEEE International Symposium on Asynchronous Circuits and Systems, 2014

Studying the Interplay of Concurrency, Performance, Energy and Reliability with ArchOn - An Architecture-Open Resource-Driven Cross-Layer Modelling Framework.
Proceedings of the 14th International Conference on Application of Concurrency to System Design, 2014

2013
Dynamic programming-based runtime thermal management (DPRTM): An online thermal control strategy for 3D-NoC systems.
ACM Trans. Design Autom. Electr. Syst., 2013

Concurrent Multiresource Arbiter: Design and Applications.
IEEE Trans. Computers, 2013

Hybrid wire-surface wave interconnects for next-generation networks-on-chip.
IET Comput. Digit. Tech., 2013

Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip.
IET Comput. Digit. Tech., 2013

Power Balanced Circuits for Leakage-Power-Attacks Resilient Design.
IACR Cryptol. ePrint Arch., 2013

Voltage Sensing Using an Asynchronous Charge-to-Digital Converter for Energy-Autonomous Environments.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013

Dynamic On-Chip Thermal Optimization for Three-Dimensional Networks-On-Chip.
Comput. J., 2013

Simulation Testing of a Real-Time Heuristic Scheduler with Automotive Benchmarks.
Proceedings of the 15th International Conference on Computer Modelling and Simulation, 2013

Variability analysis of self-timed SRAM robustness.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013

Wide-range, reference free, on-chip voltage sensor for variable Vdd operations.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Towards reliable hybrid bio-silicon integration using novel adaptive control system.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013

Advances in asynchronous logic: from principles to GALS & NoC, recent industry applications, and commercial CAD tools.
Proceedings of the Design, Automation and Test in Europe, 2013

Capacitor Discharging Through Asynchronous Circuit Switching.
Proceedings of the 19th IEEE International Symposium on Asynchronous Circuits and Systems, 2013

Design-for-adaptivity of microarchitectures.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013

Novel Multi-Layer Network Decomposition boosting acceleration of multi-core algorithms.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013

Step Persistence in the Design of GALS Systems.
Proceedings of the Application and Theory of Petri Nets and Concurrency, 2013

2012
Embedded Transitive Closure Network for Runtime Deadlock Detection in Networks-on-Chip.
IEEE Trans. Parallel Distributed Syst., 2012

Mixed Radix Reed-Muller Expansions.
IEEE Trans. Computers, 2012

Towards power-elastic systems through concurrency management.
IET Comput. Digit. Tech., 2012

Design and security evaluation of balanced 1-of-n circuits.
IET Comput. Digit. Tech., 2012

Identification of Key Energy Harvesting Parameters through Monte Carlo Simulations.
Proceedings of the 14th International Conference on Computer Modelling and Simulation, 2012

Adaptive Synchronization for DVFS Applications.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2012

Self-Timed Physically Unclonable Functions.
Proceedings of the 5th International Conference on New Technologies, 2012

A hybrid power delivery method for asynchronous loads in energy harvesting systems.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

Developing survival instincts in computing systems.
Proceedings of the Fifth International Workshop on Network on Chip Architectures, 2012

Surface wave communication system for on-chip and off-chip interconnects.
Proceedings of the Fifth International Workshop on Network on Chip Architectures, 2012

Deadlock-free and plane-balanced adaptive routing for 3D networks-on-chip.
Proceedings of the Fifth International Workshop on Network on Chip Architectures, 2012

Ultra-low power transmitter.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Error detection and correction of single event upset (SEU) tolerant latch.
Proceedings of the 18th IEEE International On-Line Testing Symposium, 2012

An RTL method for hiding clock domain crossing latency.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

Intra-chip physical parameter sensor for FPGAS using flip-flop metastability.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

A scalable FPGA-based design for field programmable large-scale ion channel simulations.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

VARMA - VARiability modelling and analysis tool.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

Reconfigurable time interval measurement circuit incorporating a programmable gain time difference amplifier.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

Minimizing power supply noise through harmonic mappings in networks-on-chip.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

Adapting Asynchronous Circuits to Operating Conditions by Logic Parametrisation.
Proceedings of the 18th IEEE International Symposium on Asynchronous Circuits and Systems, 2012

Large-Scale On-Chip Dynamic Programming Network Inferences Using Moderated Inter-core Communication.
Proceedings of the 12th International Conference on Application of Concurrency to System Design, 2012

On Dual-Rail Control Logic for Enhanced Circuit Robustness.
Proceedings of the 12th International Conference on Application of Concurrency to System Design, 2012

Algebra of Parameterised Graphs.
Proceedings of the 12th International Conference on Application of Concurrency to System Design, 2012

2011
Security Evaluation of Balanced 1-of- n Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Sub-threshold synchronizer.
Microelectron. J., 2011

Editorial - Selected papers from the 16th IEEE International Symposium on Asynchronous Circuits and Systems.
IET Comput. Digit. Tech., 2011

Encoding of processor instruction sets with explicit concurrency control.
IET Comput. Digit. Tech., 2011

Statistical analysis of crosstalk-induced errors for on-chip interconnects.
IET Comput. Digit. Tech., 2011

M-PRES: a statistical tool for modelling the impact of manufacturing process variations on circuit-level performance parameters.
IET Circuits Devices Syst., 2011

Real-Time FPGA-Based Multichannel Spike Sorting Using Hebbian Eigenfilters.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

Communication centric on-chip power grid models for networks-on-chip.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Power adaptive computing system design in energy harvesting environment.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

On-chip dynamic programming networks using 3D-TSV integration.
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011

Improving the Robustness of Self-timed SRAM to Variable Vdds.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

Formal modelling and transformations of processor instruction sets.
Proceedings of the 9th IEEE/ACM International Conference on Formal Methods and Models for Codesign, 2011

Reconfigurable controllers for synchronization via wagging.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Variation tolerant asynchronous FPGA (abstract only).
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011

Memory efficient on-line streaming for multichannel spike train analysis.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Towards neuro-silicon interface using reconfigurable dynamic clamping.
Proceedings of the 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2011

Energy-modulated computing.
Proceedings of the Design, Automation and Test in Europe, 2011

Redressing timing issues for speed-independent circuits in deep submicron age.
Proceedings of the Design, Automation and Test in Europe, 2011

Run-time deadlock detection in networks-on-chip using coupled transitive closure networks.
Proceedings of the Design, Automation and Test in Europe, 2011

A Novel Power Delivery Method for Asynchronous Loads in Energy Harvesting Systems.
Proceedings of the 17th IEEE International Symposium on Asynchronous Circuits and Systems, 2011

Variation Tolerant AFPGA Architecture.
Proceedings of the 17th IEEE International Symposium on Asynchronous Circuits and Systems, 2011

Run-Time Concurrency Tuning for Peak Power Modulation in Energy Harvesting Systems.
Proceedings of the 11th International Conference on Application of Concurrency to System Design, 2011

Improved Parallel Composition of Labelled Petri Nets.
Proceedings of the 11th International Conference on Application of Concurrency to System Design, 2011

Asynchronous Communications for NoCs.
Proceedings of the Low Power Networks-on-Chip., 2011

2010
Throughput Optimization for Area-Constrained Links With Crosstalk Avoidance Methods.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Conditional Partial Order Graphs: Model, Synthesis, and Application.
IEEE Trans. Computers, 2010

Self-Timed SRAM for Energy Harvesting Systems.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2010

Improved parameterized efficient FPGA implementations of parallel 1-D filtering algorithms using Xilinx System Generator.
Proceedings of the IEEE International Symposium on Signal Processing and Information Technology, 2010

Secure Design Flow for Asynchronous Multi-valued Logic Circuits.
Proceedings of the 40th IEEE International Symposium on Multiple-Valued Logic, 2010

Highly parallel multi-resource arbiters.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Asynchronous FPGA architecture with distributed control.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Stochastic analysis of power, latency and the degree of concurrency.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A Reconfigurable Hebbian Eigenfilter for Neurophysiological Spike Train Analysis.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Asynchronous design, Quo Vadis?
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Performance efficient FPGA implementation of parallel 2-D MRI image filtering algorithms using Xilinx system generator.
Proceedings of the 7th International Symposium on Communication Systems Networks and Digital Signal Processing, 2010

Performance improvement algorithms for colour image compression using DWT and multilevel block truncation coding.
Proceedings of the 7th International Symposium on Communication Systems Networks and Digital Signal Processing, 2010

Automated Synthesis of Instruction Codes in the Context of Micro-architecture Design.
Proceedings of the 10th International Conference on Application of Concurrency to System Design, 2010

Introduction.
Proceedings of the Workshops of the 31st International Conference on Application and Theory of Petri Nets and Other Models of Concurrency (PETRI NETS 2010) and of the 10th International Conference on Application of Concurrency to System Design (ACSD 2010), 2010

2009
Efficient advanced encryption standard implementation using lookup and normal basis.
IET Comput. Digit. Tech., 2009

Fine-grain stochastic modelling of dynamic power management policies and analysis of their power - latency tradeoffs.
IET Softw., 2009

Desynchronisation Technique Using Petri Nets.
Proceedings of the 4th International Workshop on the Application of Formal Methods for Globally Asynchronous and Locally Synchronous Design, 2009

The Magic Rule of Tiles: Virtual Delay Insensitivity.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2009

Connection-centric network for spiking neural networks.
Proceedings of the Third International Symposium on Networks-on-Chips, 2009

Quaternary Reed-Muller Expansions of Mixed Radix Arguments in Cryptographic Circuits.
Proceedings of the ISMVL 2009, 2009

On the trade-off between resolution time and delay times in bistable circuits.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

Synthesis of Multiple Rail Phase Encoding Circuits.
Proceedings of the 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009

Modular Approach to Multi-resource Arbiter Design.
Proceedings of the 15th IEEE Symposium on Asynchronous Circuits and Systems, 2009

Workcraft - A Framework for Interpreted Graph Models.
Proceedings of the Applications and Theory of Petri Nets, 2009

Flat Arbiters.
Proceedings of the Ninth International Conference on Application of Concurrency to System Design, 2009

Evaluation of energy-recovering interconnects for low-power 3D stacked ICs.
Proceedings of the IEEE International Conference on 3D System Integration, 2009

2008
Phase-Encoding for On-Chip Signalling.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Fault-Tolerant Techniques to Minimize the Impact of Crosstalk on Phase Encoded Communication Channels.
IEEE Trans. Computers, 2008

On-Chip Measurement of Deep Metastability in Synchronizers.
IEEE J. Solid State Circuits, 2008

Statistical modelling of the variation in advanced process technologies using a multi-level partitioned response.
IET Circuits Devices Syst., 2008

Analysis of Static Data Flow Structures.
Fundam. Informaticae, 2008

Comments on the BCS Lecture "The Future of Computer Technology and its Implications for the Computer Industry" by Professor Steve Furber.
Comput. J., 2008

Global interconnections in FPGAs: modeling and performance analysis.
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008

The impact of variability on the reliability of long on-chip interconnect in the presence of crosstalk.
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008

Implementation of Wave-Pipelined Interconnects in FPGAs.
Proceedings of the Second International Symposium on Networks-on-Chips, 2008

High resolution flash time-to-digital converter with sub-picosecond measurement capabilities.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2008

Conversion driven design of binary to mixed radix circuits.
Proceedings of the 26th International Conference on Computer Design, 2008

Implementation of a phase-encoding signalling prototype chip.
Proceedings of the ESSCIRC 2008, 2008

Serialized Asynchronous Links for NoC.
Proceedings of the Design, Automation and Test in Europe, 2008

Conditional Partial Order Graphs and Dynamically Reconfigurable Control Synthesis.
Proceedings of the Design, Automation and Test in Europe, 2008

Bandwidth-Centric Optimisation for Area-Constrained Links with Crosstalk Avoidance Methods.
Proceedings of the Design, Automation and Test in Europe, 2008

Asynchronous transient resilient links for NoC.
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008

Adapting Synchronizers to the Effects of on Chip Variability.
Proceedings of the 14th IEEE International Symposium on Asynchronous Circuits and Systems, 2008

Automated Verification of Asynchronous Circuits Using Circuit Petri Nets.
Proceedings of the 14th IEEE International Symposium on Asynchronous Circuits and Systems, 2008

FPGA Implementation of an Asynchronous Processor with Both Online and Offline Testing Capabilities.
Proceedings of the 14th IEEE International Symposium on Asynchronous Circuits and Systems, 2008

Synthesis of Nets with Step Firing Policies.
Proceedings of the Applications and Theory of Petri Nets, 29th International Conference, 2008

A Symbolic Algorithm for the Synthesis of Bounded Petri Nets.
Proceedings of the Applications and Theory of Petri Nets, 29th International Conference, 2008

Verification of conditional partial order graphs.
Proceedings of the 8th International Conference on Application of Concurrency to System Design (ACSD 2008), 2008

2007
Registers for Phase Difference Based Logic.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Measuring Deep Metastability and Its Effect on Synchronizer Performance.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Direct Mapping of Low-Latency Asynchronous Controllers From STGs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Asynchronous Functional Coupling for Low Power Sensor Network Processors.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007

Reducing Interconnect Cost in NoC through Serialized Asynchronous Links.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

NoC Communication Strategies Using Time-to-Digital Conversion.
Proceedings of the First International Symposium on Networks-on-Chips, 2007

Asynchronous links for nanonets.
Proceedings of the 2nd Internationa ICST Conference on Nano-Networks, 2007

Impact of strain on the design of low-power high-speed circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A C-element Latch Scheme with Increased Transient Fault Tolerance for Asynchronous Circuits.
Proceedings of the 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 2007

Delay/Phase Regeneration Circuits.
Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2007), 2007

Asynchronous Data Path Models.
Proceedings of the Seventh International Conference on Application of Concurrency to System Design (ACSD 2007), 2007

The Design of Virtual Self-timed Block for Activity Communication in SOC.
Proceedings of the Seventh International Conference on Application of Concurrency to System Design (ACSD 2007), 2007

2006
Logic Synthesis for Asynchronous Circuits Based on STG Unfoldings and Incremental SAT.
Fundam. Informaticae, 2006

A Robust Synchronizer.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Modeling And Performance Analysis of GALS architectures.
Proceedings of the International Symposium on System-on-Chip, 2006

Virtual self-timed blocks for systems-on-chip.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Online Testing by Protocol Decomposition.
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006

Cost-aware synthesis of asynchronous circuits based on partial acknowledgement.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Low-Cost Online Testing of Asynchronous Handshakes.
Proceedings of the 11th European Test Symposium, 2006

Multiple-Rail Phase-Encoding for NoC.
Proceedings of the 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2006), 2006

Resolution of Encoding Conflicts by Signal Insertion and Concurrency Reduction Based on STG Unfoldings.
Proceedings of the Sixth International Conference on Application of Concurrency to System Design (ACSD 2006), 2006

2005
Design and Analysis of Dual-Rail Circuits for Security Applications.
IEEE Trans. Computers, 2005

Moving from Weakly Endochronous Systems to Delay-Insensitive Circuits.
Proceedings of the Second Workshop on Globally Asynchronous, Locally Synchronous Design, 2005

Off-Line Testing of Asynchronous Circuits.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

PSK Signalling on NoC Buses.
Proceedings of the Integrated Circuit and System Design, 2005

On-Line Testing of Globally Asynchronous Circuits.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Power-Balanced Self Checking Circuits for Cryptographic Chips.
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005

Power-balanced asynchronous logic.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

Modeling and Verification of Globally Asynchronous and Locally Synchronous Ring Architectures.
Proceedings of the 2005 Design, 2005

A Multi-version Data Model and Semantic-Based Transaction Processing Protocol.
Proceedings of the Advances in Databases and Information Systems, 2005

Automating Synthesis of Asynchronous Communication Mechanisms.
Proceedings of the Fifth International Conference on Application of Concurrency to System Design (ACSD 2005), 2005

2004
Design and Analysis of a Self-Timed Duplex Communication System.
IEEE Trans. Computers, 2004

Detecting State Encoding Conflicts in STG Unfoldings Using SAT.
Fundam. Informaticae, 2004

A Low and Balanced Power Implementation of the AES Security Mechanism Using Self-Timed Circuits.
Proceedings of the Integrated Circuit and System Design, 2004

Low Latency Synchronization Through Speculation.
Proceedings of the Integrated Circuit and System Design, 2004

MATLAB Models of ACMS in Control Systems.
Proceedings of the ICINCO 2004, 2004

An Asynchronous Synthesis Toolset Using Verilog.
Proceedings of the 2004 Design, 2004

Improving the Security of Dual-Rail Circuits.
Proceedings of the Cryptographic Hardware and Embedded Systems, 2004

Buffered Asynchronous Communication Mechanisms.
Proceedings of the 4th International Conference on Application of Concurrency to System Design (ACSD 2004), 2004

Logic Synthesis for Asynchronous Circuits Based on Petri Net Unfoldings and Incremental SAT.
Proceedings of the 4th International Conference on Application of Concurrency to System Design (ACSD 2004), 2004

2003
On-chip structures for timing measurement and test.
Microprocess. Microsystems, 2003

STG Optimisation in the Direct Mapping of Asynchronous Circuits .
Proceedings of the 2003 Design, 2003

Visualization and Resolution of Coding Conflicts in Asynchronous Circuit Design.
Proceedings of the 2003 Design, 2003

Monotonic Circuits with Complete Acknowledgement.
Proceedings of the 9th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2003), 2003

Low-Latency Contro Structures with Slack.
Proceedings of the 9th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2003), 2003

Detecting State Coding Conflicts in STG Unfoldings Using SAT.
Proceedings of the 3rd International Conference on Application of Concurrency to System Design (ACSD 2003), 2003

Synthesis of Asynchronous Hardware from Petri Nets.
Proceedings of the Lectures on Concurrency and Petri Nets, 2003

2002
Lazy transition systems and asynchronous circuit synthesis withrelative timing assumptions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Data Communication in Systems with Heterogeneous Timing.
IEEE Micro, 2002

Synchronization circuit performance.
IEEE J. Solid State Circuits, 2002

Design of Asynchronous Controllers with Delay Insensitive Interface.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002

Logic Design of Asynchronous Circuits (Tutorial Abstract).
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Visualization of Coding Conflicts in Asynchronous Circuit Design.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Synthesis of Asynchronous Circuits with Predictable Latency.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Asynchronous circuit synthesis via direct translation.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Analysis of the oscillation problem in tri-flops.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Detecting State Coding Conflicts in STGs Using Integer Programming.
Proceedings of the 2002 Design, 2002

Visualization of Partial Order Models in VLSI Design Flow.
Proceedings of the 2002 Design, 2002

On-Chip Structures for Timing Measurements and Test.
Proceedings of the 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 2002

Asynchronous Circuit Synthesis by Direct Mapping: Interfacing to Environment.
Proceedings of the 8th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2002), 2002

Is the Die Cast for the Token Game?
Proceedings of the Applications and Theory of Petri Nets 2002, 2002

2001
Modelling and verification of an atomic action protocol implemented in Ada.
Comput. Syst. Sci. Eng., 2001

Synthesis and Implementation of a Signal-Type Asynchronous Data Communication Mechanism.
Proceedings of the 7th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2001), 2001

2000
Synchronous and asynchronous A-D conversion.
IEEE Trans. Very Large Scale Integr. Syst., 2000

WCET Analysis of Superscalar Processors Using Simulation With Coloured Petri Nets.
Real Time Syst., 2000

Semi-modular Latch Chains for Asynchronous Circuit Design.
Proceedings of the Integrated Circuit Design, 2000

On developing and verifying design abstractions for reliable concurrent programming in Ada.
Proceedings of the 10th International Workshop on Real-Time Ada, 2000

Asynchronous Communication Mechanisms Using Self-Timed Circuits.
Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2000

Priority Arbiters.
Proceedings of the 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2000

Hardware and Petri Nets: Application to Asynchronous Circuit Design.
Proceedings of the Application and Theory of Petri Nets 2000, 2000

1999
Logic decomposition of speed-independent circuits.
Proc. IEEE, 1999

Asynchronous microprocessors: From high level model to FPGA implementation.
J. Syst. Archit., 1999

What is the cost of delay insensitivity?
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999

Automatic Synthesis and Optimization of Partially Specified Asynchronous Systems.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Deriving Petri Nets for Finite Transition Systems.
IEEE Trans. Computers, 1998

Analysing Superscalar Processor Architectures with Coloured Petri Nets.
Int. J. Softw. Tools Technol. Transf., 1998

Designing Control Logic for Counterflow Pipeline Processor Using Petri Nets.
Formal Methods Syst. Des., 1998

Lazy transition systems: application to timing optimization of asynchronous circuits.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

Unfolding and Finite Prefix for Nets with Read Arcs.
Proceedings of the CONCUR '98: Concurrency Theory, 1998

Towards Asynchronous A-D Conversion.
Proceedings of the 4th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '98), 30 March, 1998

Identifying State Coding Conflicts in Asynchronous System Specifications Using Petri Net Unfoldings.
Proceedings of the 1st International Conference on Application of Concurrency to System Design (ACSD '98), 1998

1997
A region-based theory for state assignment in speed-independent circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Designing an asynchronous processor using Petri nets.
IEEE Micro, 1997

Decomposition and technology mapping of speed-independent circuits using Boolean relations.
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997

Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis.
Proceedings of the European Design and Test Conference, 1997

Synthesis of Speed-Independent Circuits from STG-Unfolding Segment.
Proceedings of the 34st Conference on Design Automation, 1997

Partial order based approach to synthesis of speed-independent circuits.
Proceedings of the 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 1997

Technology Mapping for Speed-Independent Circuits: Decomposition and Resynthesis.
Proceedings of the 3rd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '97), 1997

Coupling Asynchrony and Interrupts: Place Chart Nets.
Proceedings of the Application and Theory of Petri Nets 1997, 1997

1996
Modelling, analysis and synthesis of asynchronous control circuits using Petri nets.
Integr., 1996

On the Models for Asynchronous Circuit Behaviour with OR Causality.
Formal Methods Syst. Des., 1996

Verification of asynchronous circuits using Time Petri Net unfolding.
Proceedings of the 33st Conference on Design Automation, 1996

Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis.
Proceedings of the 33st Conference on Design Automation, 1996

Complete state encoding based on the theory of regions.
Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC '96), 1996

1995
High-Level Modeling and Design of Asynchronous Interface Logic.
IEEE Des. Test Comput., 1995

Synthesizing Petri nets from state-based models.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

Checking signal transition graph implementability by symbolic BDD traversal.
Proceedings of the 1995 European Design and Test Conference, 1995

Designing an asynchronous pipeline token ring interface.
Proceedings of the Second Working Conference on Asynchronous Design Methodologies, 1995

On hazard-free implementation of speed-independent circuits.
Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29, 1995

1994
A low latency asynchronous arbitration circuit.
IEEE Trans. Very Large Scale Integr. Syst., 1994

Basic Gate Implementation of Speed-Independent Circuits.
Proceedings of the 31st Conference on Design Automation, 1994

Designing asynchronous circuits from behavioural specifications with internal conflicts.
Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1994

OR Causality: Modelling and Hardware Implementation.
Proceedings of the Application and Theory of Petri Nets 1994, 1994

1993
Synthesis of Hazard-free Asynchronous Circuits from Generalized Signal-Transition Graphs.
Proceedings of the Sixth International Conference on VLSI Design, 1993

Synthesis of Asynchronous Control Circuits from Symbolic Signal Transition Graphs.
Proceedings of the Asynchronous Design Methodologies, Proceedings of the IFIP WG10.5 Working Conference on Asynchronous Design Methodologies, Manchester, UK, 31 March, 1993

1992
On Limitations and Extensions of STG Model for Designing Asynchronous Control Circuits.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

A unified signal transition graph model for asynchronous control circuit synthesis.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

A Structural Technique For Fault-Protection in Asynchronous Interfaces.
Proceedings of the Digest of Papers: FTCS-22, 1992

1989
A look at concurrency semantics through "lattice glasses".
Bull. EATCS, 1989

Analyzing Semantics of Concurrent Hardware Specifications.
Proceedings of the International Conference on Parallel Processing, 1989

1988
Signal Graphs: A Model for Designing Concurrent Logic.
Proceedings of the International Conference on Parallel Processing, 1988

1985
Signal Graphs: From Self-Timed to Timed Ones.
Proceedings of the International Workshop on Timed Petri Nets, 1985


  Loading...