debussy和modelsim联合使用的

本文详细介绍如何使用Debussy和ModelSim进行FPGA仿真,包括安装配置、联合使用步骤及常见操作技巧,如波形同步显示、调试环境保存等。

摘要生成于 C知道 ,由 DeepSeek-R1 满血版支持, 前往体验 >

建议使用verdi代替debussy 功能和操作应该都类似(202208)

1、debussy 和modelsim使用

debussy5.4 绿色版 免安装程序 https://download.csdn.net/download/qq_39097092/20463322
如果运行debussy打不开 ,用bin中的crack.exe激活一下
在这里插入图片描述

将debussy安装目录下(例如:D:…\Debussy\share\PLI\modelsim_pli\WINNT)的novas.dll复制到modelsim 安装目录下的win32下(一定要32位的modelsim才能和debussy联合使用)

去掉modelsim.ini的只读属性(modelsim.ini在安装目录上一级,即win32文件同级目录),找到 ;Veriuser =veriuser.sl,改为Veriuser = novas.dll (注意此处要去掉最前面的;,若是vhdl语言,则novas.dll可以用novas_fli.dll)

新建环境变量:
PLIOBJS =D: FPGA\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll
LD_LIBRARY_PATH=D:\FPGA\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll
(此处是debussy安装目录,若用的novas_fli.dll,此处路径对应到novas_fli.dll处)

testbench里面添加dump波形的代码。

initial begin

   $fsdbDumpfile("your_project_name.fsdb");

   $fsdbDumpvars;

end

新建sim.do 文件

quit -sim

.main clear


vlib work

vmap work _work

#编译 所有对应的所有代码的文件位置
vlog -work work ../src/*.v    
vlog -work work ../testbench/*.v

#vlog -work work *.v

#对应的库文件 
vsim -t ps -novopt   -L altera_mf -L work  _work.testbench_top

#在modelsim中调用时可以通过保存wave界面的波形文件 使下次直接开打对应的波形
#do wave.do
#run 时间
run 100us

1、使用modelsim仿真

1选中change directory
在这里插入图片描述2、输入do sim.do 回车
在这里插入图片描述

双击 run.bat (可以通过脚本直接生成fsdb文件,不需要打开modelsim在modelsim里 运行sim.do 有时候无法更新fsdb文件)

@ECHO OFF
SET debussy=D:\FPGA\Debussy5.4\bin\Debussy.exe

SET vsim=D:\FPGA\modeltech64_10.4\win64\vsim.exe
%vsim%  -c -do sim.do
RD work /s /q
pause

1、 然后在debussy 中添加代码和tb测试文档
在这里插入图片描述
1、 然后在debussy 中打开fsdb文档
在这里插入图片描述

2、debussy的使用

个人比较常用的加粗了
快捷键:
g:加载信号 Get signals
x:标注信号的值(在源代码中)Active Annotation
L :返回至上一个视图 Reload Design

Ctrl+e:Active Trace

Ctrl+w:Add Signal to Wave

H:hierarchical Name

shirt+z:Zoom In 放大
z:Zoom Out 缩小

f:Zoom All

l:Last View

1 、如何让波形,源代码和原理图三个窗口同步显示?
我们可以通过选择Tool->Options->Sync Signal Selection,来同步所有窗口中的信号。一旦你在nWave 或者源代码窗口或者原理图窗口选择了任何一个信号,其他两个窗口也会同步显示该信号。

2 、如何保存当前调试的所有设置和界面?
在你启动debussy 到退出debussy 之前是一段很长的调试过程,你可以保存当前的调试环境,并在下次调用debussy 的时候使用。Debussy 自动把当前的调试环境保存在工作目录下的DebussyLog 的lastSession.ses中。File->Save Session 保存当前调试状态,下次启动后File->Restore Session.

3 、如何使用Cursor 和Marker?
nWave 的波形窗口中默认有一个Cursor (黄色)和一个Marker (白色),左键是Cursor,中键是Marker,在波形窗口中右键是放大Cursor 和Marker 之间波形的快捷键。默认情况下Cursor 和Marker 都是停留在信号的Transition 处,你可以通过点击Wave->Snap Cursor To Transitions 关闭或者启动这个功能。
通过鼠标中键控制标记的位置。
通过鼠标右键放大光标和标记间区域的波形至整个波形窗口。

4、如何保存信号列表?
在调试的过程中,你或许会打开很多窗口,同时你也会添加了很多信号,在下次调试的时候你仍然希望添加这些信号,可以通过保存信号来实现这个想法。File->Save Signal,把信号保存在.rc 的文件中,下次打开仿真结果,通过Flie->Restore Signal* 就可以看到希望的信号了。

5、如何在同一个窗口中观察不同的仿真结果?

nWave 允许在一个窗口中显示多个仿真结果。首先打开不同的仿真结果。在添加信号之前,激活你想看的仿真结果,File->Set Active 转换到对应的仿真结果上。

6、方便选中边沿
在移动光标和标记时,要注意一个选项Waveform->Snap Cursor To Transitions,在使能该选项时,光标和标记始终处于信号波形变化边沿,如1-bit信号的上升沿或者下降沿;在不使能该选项时,光标和标记可以在信号波形任意位置。

7、我们可以直接把nTrace中的信号,拉到nWave的窗口里,显示出来。
**在nTrace中,把鼠标放到要拖拽的信号上,按着鼠标中键(一直按着,可以选中多个),把信号拖拽到nWave的窗口里,然后释放鼠标中键,**被拖拽的信号就到nWave里了。不过这个前提是打开的波形里,有dump你拖拽的这个信号的波形。
那么反过来,把nWave的信号往nTrace里拖也是可以的,操作也一样。
8、如果你要看一个信号的,那么把鼠标放到这个信号上,然后右键,选择trace load,那么这个时候,窗口里就会显示出该信号。
在nwave 双击信号 就可以到nTrace界面对应位置

9、在nwave 界面选中对应的位置,ntrace就能看到当时的波形变化。
在nTrace中,执行Source -> Active annotation命令,你会发现在nTrace的信号下面,会多出来一个在某时刻该信号的值,或者是信号的变化状态。

10、 如何在波形窗口,源代码窗口中追溯信号的驱动源?
在波形窗口中我们可以通过双击信号的波形来追溯信号的驱动源,从而定位错误出现的位置。在源代码窗口中可以通过双击信号的名字来追溯该信号的驱动源。在源代码窗口中也可以通过右键菜单来实现这个功能。在窗口中选择Trace->Reset Traced Signals’s Color 可以取消追溯过程中带来的信号高亮度的问题。

11、 如何给信号起别名?
我们可以通过使用起别 名的方法。通过使用Waveform->Signal Value Radix ->Add Alias from File 或者Waveform->Signal Value Radix ->Browse Alias ->Edit Alias命令来实现。

12、如何自定义总线信号?
创建自己想要的总线信号,如在16bit 的总线中,你只想看到其中的偶数位的总线,或者你想把几条信号合并成一条总线信号,都可以在debussy 中轻松实现。选中要操作的信号或者总线,Signal->Create Bus.

3、导入仿真库

1、安装好modelsim软件,在modelsim安装的根目录下创建文件夹altera,用于存放altera库文件,同时在altera文件夹下新建文件夹src,用于存放altera的仿真库文件。
2、quartus II的仿真库文件存放在路径。。。…\altera\12.x\quartus\eda\sim_lib,
每个库文件提供了两种形式:.v(Verilog)格式和.vhd(VHDL)格式两种,根据你所用的语言选择使用。用于编译资源库的文件有220model.v,220model.vhd.
3、设置仿真库路径
启动ModelSim SE 软件,在主窗口执行【File】/【Change Directory】命令将路径转到根目录altera文件夹。或在命令行中执行xxxx\modeltech_10.1c\altera()
4、新建仿真库
主窗口中选择【File】/【New】/【Library】命令,新建一个名为altera_,mf的库,
在这里插入图片描述
5、编译仿真库
主菜单中选【Compile】/【Compile】命令,弹出Compile Source Files对话框,library中选择你刚才建立的库名altera_mf(不选择默认work,不能成功编译库),查找范围你选择altera_mf.v文件,执行编译命令compile,编译完成后done。这样altera_mf库编译完成,按照4、5完成altera_primitive、220model库的编译.
在这里插入图片描述

6、配置modelsim.ini文件
找到modelsim安装目录下的modelsim.ini配置文件(库生成目录下的modelsim.ini配置文件作此操作无效),将文件只读属性去掉,打开
modelsim.ini配置文件,在[library]下添加仿真库的相对路径:
altera_primitives = $MODEL_TECH/…/altera/altera_primitives
220model = $MODEL_TECH/…/altera/220model
altera_mf = $MODEL_TECH/…/altera/altera_mf
添加完保存modelsim.ini配置文件,将文件变成只读属性。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值