1.建立modelsim.bat文件
vsim -do sim.do
2.建立sim.do文件
vlog +incdir+ ./../src/video_process_top/vga_ctr.v \
./sim_tb.v
vsim -novopt +nowarnPCDPC -L ecp3 -t 1ns work.sim_tb
view structure
view signals
view wave
radix -decimal
add wave -noupdate -divider {Global Signals}
add wave -noupdate -format Logic /sim_tb/U0_vga_ctr_inst/*
run 3ms
modelsim调试时,将需要查看的信号拉在一起并排序,若需要重新仿真,可直接选择信号复制黏贴到do文件中,前面加上add wave -dev ,就可以在重新仿真出来的波形中直接看到需要查看的信号及其排序
3.建立sim_tb.v文件
`timescale 1 ns / 100 ps
module sim_tb();
reg clk;
reg rst_n;
wire sof_test;
wire eof_test;
wire sol_test;
wire eol_test;
wire valid_test;
wire [23:0] dout_test;
vga_ctr U0_vga_ctr_inst(
.rst_n (rst_n