module generatecos( input clk, input rst_n, input [47:0] step_phase, // ???? output reg [15:0] I, output reg [15:0] Q ); // ????? reg [47:0] phase_acc; // ????????initial??? reg [15:0] sin_quarter[0:255]; initial $readmemh("sin_quarter_256.list", sin_quarter); // ?????? always @(posedge clk or negedge rst_n) begin if(!rst_n) begin phase_acc <= 0; I <= 0; Q <= 0; end else begin // ???? phase_acc <= phase_acc + step_phase; // ???? case(phase_acc[47:46]) // ????????? 2'b00: begin // ???? I <= sin_quarter[phase_acc[45:38]]; Q <= sin_quarter[255 - phase_acc[45:38]]; end 2'b01: begin // ???? I <= sin_quarter[255 - phase_acc[45:38]]; Q <= -sin_quarter[phase_acc[45:38]]; end 2'b10: begin // ???? I <= -sin_quarter[phase_acc[45:38]]; Q <= -sin_quarter[255 - phase_acc[45:38]]; end 2'b11: begin // ???? I <= -sin_quarter[255 - phase_acc[45:38]]; Q <= sin_quarter[phase_acc[45:38]]; end endcase end end endmodule module generatebase( input clk, input rst_n, // ???? output [15:0] I, // I ?? output [15:0] Q // Q ?? ); // 600Hz ????? localparam [47:0] STEP_PHASE = 48'd844424930132; // **?? I/Q ??** generatecos u_generatecos( .clk(clk), .rst_n(rst_n), .step_phase(STEP_PHASE), .I(I), .Q(Q) // **Q ???** ); Endmodule module FM_modulation( input clk, input [15:0] I_baseband, // I ????? input [15:0] Q_baseband, input rst_n, output [15:0] RF_I, output [15:0] RF_Q ); // ?????? (40 kHz) ??? (3 kHz) localparam [47:0] CENTER = 48'd56294995342131; // 40kHz (2^48*40k/200k) localparam [47:0] DEVI = 48'd4222124650660; // 3kHz ?? // **????I ? Q ??????** wire signed [63:0] mod_temp_I = $signed({{32{I_baseband[15]}}, I_baseband}) * $signed(DEVI); wire signed [63:0] mod_temp_Q = $signed({{32{Q_baseband[15]}}, Q_baseband}) * $signed(DEVI); // **?? FM ??????** wire signed [47:0] phase_mod = (mod_temp_I[63:16] + mod_temp_Q[63:16]); wire [47:0] current_step = CENTER + phase_mod; // **???????? RF ??** generatecos rf_carrier( .clk(clk), .rst_n(rst_n), .step_phase(current_step), .I(RF_I), .Q(RF_Q) ); Endmodule module TOP1( input clk, // 11.05926MHz ???? input rst_n, // ?? output [15:0] RF_I, // RF I ?? output [15:0] RF_Q // RF Q ?? ); wire [15:0] baseband_I, baseband_Q; //difclk u_difclk( //.clk(clk_11m), //.rst_n(rst_n), //.clk_10m(clk_10m) //); generatebase u_generatebase( .clk(clk), .rst_n(rst_n), .I(baseband_I), .Q(baseband_Q) // **Q ???????** ); FM_modulation FM_modulation( .clk(clk), .I_baseband(baseband_I), .Q_baseband(baseband_Q), // **Q ??????** .RF_I(RF_I), .RF_Q(RF_Q), .rst_n(rst_n) ); Endmodule这些代码实现的fm调制,为什么输出波形不是正弦波,是阶梯状的波
时间: 2025-03-08 19:00:31 浏览: 29
<think>嗯,用户问的是这段Verilog代码实现的FM调制为什么输出波形是阶梯状的而不是正弦波。首先,我需要理解代码的结构和工作原理,然后找出可能导致波形不连续的原因。
首先看generatecos模块。它有一个相位累加器phase_acc,每个时钟周期加上step_phase,这应该是实现DDS(直接数字频率合成)的关键部分。DDS通常通过相位累加和查找表生成正弦波,所以这里可能用到了查找表。注意到sin_quarter数组被初始化为256个16位值,但只存储了四分之一的波形,其他部分通过相位的高两位来决定象限,并调整符号和索引。这样设计的目的是为了节省存储空间,因为正弦波具有对称性。
接下来,generatebase模块设置了固定的step_phase,用来生成基带信号,可能是载波。而FM_modulation模块将基带信号调制到载波上,这里涉及到相位调制,通过基带信号乘以频偏DEVI,再与中心频率CENTER相加,得到current_step作为generatecos的输入。
问题可能出在几个地方:
1. **查找表精度不足**:sin_quarter只有256个点,而每个象限使用其中的64个点(因为phase_acc[45:38]是8位,即0-255,但case语句根据高两位分成四个象限,每个象限可能有64个点)。如果输出波形是阶梯状,可能是因为采样点太少,导致波形不够平滑。每个周期只有256个点的话,在恢复波形时会有明显的阶梯。
2. **相位累加器的截断**:在generatecos中,phase_acc的高两位决定了象限,而接下来的8位作为查找表索引。可能相位累加器的低38位被忽略,导致相位分辨率不足,使得每个时钟周期相位增量不够精细,从而输出波形出现跳跃。
3. **数据宽度和符号处理**:在FM_modulation中,I_baseband和Q_baseband被符号扩展后与DEVI相乘,然后取高48位作为相位调制量。如果乘法后的结果被截断或溢出,可能导致相位调制不连续,进而影响生成的载波频率,使得输出波形出现阶梯。
4. **时钟频率与输出频率的关系**:如果时钟频率不够高,相对于生成的信号频率来说,每个周期的采样点太少,也会导致波形呈现阶梯状。例如,若generatecos的时钟频率为11.05926MHz,而生成的信号频率较高,可能导致每个周期的点数不足。
5. **DDS的量化误差**:DDS本身由于相位和幅值的量化,会引入固有的阶梯状波形,尤其是在不使用插值的情况下,输出波形本来就是由离散的幅值组成,如果后续没有低通滤波器,就会呈现阶梯状。
6. **初始化和查找表数据问题**:如果sin_quarter.list文件中的正弦数据不是完整的四分之一周期,或者在读取时出现错误,可能导致生成的波形不连续。
需要逐一检查这些可能性。例如,检查查找表的数据是否正确,确认采样点数是否足够,查看时钟频率和输出频率的比例,以及是否存在截断误差等。另外,DDS的输出本身是阶梯状的,通常需要经过低通滤波器才能得到平滑的正弦波,可能代码中没有包含滤波步骤,导致输出呈现阶梯状。</think>该FM调制系统输出波形呈现阶梯状的原因可能有以下几个关键点:
1. **DDS量化分辨率限制**
- `generatecos`模块通过256点查找表实现四分之一正弦波存储($sin_quarter$数组)
- 每个象限仅使用64个采样点($phase_acc[45:38]$为8位地址)
- 输出波形周期总点数=256点,对应角度分辨率$\Delta\theta = \frac{2\pi}{256} \approx 1.4^\circ$
- 数学表达式:$$s(t) = \sin\left(\frac{2\pi}{256} \lfloor \frac{t}{T_{clk}} \rfloor \right)$$
2. **相位截断效应**
- 相位累加器`phase_acc[47:0]`的低38位被丢弃
- 有效相位分辨率降低到$2^{8} = 256$级
- 导致输出幅度值在每个时钟沿突变
3. **系统时钟与输出频率关系**
- 基础模块`generatebase`配置$f_{out}=600$Hz
- 时钟频率$f_{clk}=11.05926$MHz时,每个周期采样点数:
$$N = \frac{f_{clk}}{f_{out}} = \frac{11.05926\times10^6}{600} \approx 18432\ \text{点}$$
- 但实际仅用256点重复填充,导致波形重复间隔为:
$$T_{step} = \frac{256}{11.05926\times10^6} \approx 23.15\mu s$$
4. **调制过程量化误差**
- FM调制时相位调制量计算:
$$phase\_mod = \frac{I \cdot \Delta f + Q \cdot \Delta f}{f_{clk}} \times 2^{48}$$
- 64位乘法结果截断到48位时引入量化台阶
5. **缺少重建滤波器**
- DDS输出本质是采样保持信号
- 数学描述:$$s(t) = \sum_{n=-\infty}^{\infty} \sin\left(\frac{2\pi}{256}n\right) \cdot rect\left(\frac{t-nT_{clk}}{T_{clk}}\right)$$
- 未使用低通滤波器平滑阶梯状波形
**解决方案建议:**
1. **提高波形存储器深度**
```verilog
reg [15:0] sin_wave[0:4095]; // 改为12位地址,4096点
```
2. **增加插值滤波器**
```verilog
// 在generatecos输出后增加线性插值模块
wire [31:0] interp_I = (sin_quarter[addr+1] - sin_quarter[addr]) * phase_acc[37:30] + sin_quarter[addr];
```
3. **优化时钟架构**
```verilog
// 使用更高频率时钟进行DAC输出
always @(posedge clk_200M) begin
// 插值处理
end
```
4. **增加模拟低通滤波器**
```verilog
// 在TOP模块输出端增加滤波描述
output reg [15:0] RF_I_filtered;
always @(posedge clk) begin
RF_I_filtered <= (RF_I + 3*RF_I_filtered) >> 2; // 一阶IIR滤波
end
```
5. **改进相位累加器处理**
```verilog
// 使用全相位精度
wire [45:0] phase_frac = phase_acc[45:0];
wire [15:0] phase_dither = $random % 256;
wire [45:0] phase_effective = phase_frac + phase_dither;
```
这些改进可显著提升波形质量,使输出更接近理想正弦波。实际工程中需要根据系统资源进行精度与复杂度的折衷。
阅读全文
相关推荐

















