module generatecos( input clk, input rst_n, input [47:0] step_phase, output reg [15:0] I, output reg [15:0] Q ); reg [47:0] phase_acc; reg [15:0] sin_quarter[0:1023]; initial $readmemh("sin_quarter_1024.list", sin_quarter); always @(posedge clk or negedge rst_n) begin if(!rst_n) begin phase_acc <= 0; I <= 0; Q <= 0; end else begin phase_acc <= phase_acc + step_phase; case(phase_acc[47:46]) 2'b00: begin I <= sin_quarter[phase_acc[45:36]]; Q <= sin_quarter[1023 - phase_acc[45:36]]; end 2'b01: begin I <= sin_quarter[1023 - phase_acc[45:36]]; Q <= -sin_quarter[phase_acc[45:36]]; end 2'b10: begin I <= -sin_quarter[phase_acc[45:36]]; Q <= -sin_quarter[1023 - phase_acc[45:36]]; end 2'b11: begin I <= -sin_quarter[1023 - phase_acc[45:36]]; Q <= sin_quarter[phase_acc[45:36]]; end endcase end end endmodule module generatebase( input clk, input rst_n, output signed [15:0] I, output signed [15:0] Q ); // 600Hz ??????????? 11.05926MHz ??? localparam [47:0] STEP_PHASE = 48'd15258789065; generatecos u_generatecos( .clk(clk), .rst_n(rst_n), .step_phase(STEP_PHASE), .I(I), .Q(Q) ); Endmodule module FM_modulation( input clk, input signed [15:0] I_baseband, input signed [15:0] Q_baseband, input rst_n, output signed [15:0] RF_I, output signed [15:0] RF_Q ); // ?????? 40kHz localparam [47:0] CENTER = 48'd1019252604353; // ?? 3kHz // localparam [47:0] DEVI = 48'd203612159733; localparam [47:0] DEVI = 48'd76293945327; // ???? wire signed [63:0] mod_temp_I = $signed(I_baseband) * $signed(DEVI); wire signed [63:0] mod_temp_Q = $signed(Q_baseband) * $signed(DEVI); //wire signed [47:0] phase_mod = (mod_temp_I[47:0] + mod_temp_Q[47:0]); // ????? // wire [47:0] current_step = CENTER + phase_mod; // ???????? wire signed [47:0] phase_mod = (mod_temp_I[63:16] + mod_temp_Q[63:16]) >>> 2; // ??2????? wire [47:0] current_step = CENTER + phase_mod; // ?????? generatecos rf_carrier( .clk(clk), .rst_n(rst_n), .step_phase(current_step), .I(RF_I), .Q(RF_Q) ); Endmodule module TOP1( input clk, // 11.05926MHz ???? input rst_n, // ???? output signed [15:0] RF_I, // RF I ??? output signed [15:0] RF_Q // RF Q ??? ); wire signed [15:0] baseband_I, baseband_Q; // ?????? generatebase u_generatebase( .clk(clk), .rst_n(rst_n), .I(baseband_I), .Q(baseband_Q) ); // ?? FM ?? FM_modulation u_FM_modulation( .clk(clk), .I_baseband(baseband_I), .Q_baseband(baseband_Q), .RF_I(RF_I), .RF_Q(RF_Q), .rst_n(rst_n) ); Endmodule 这些代码产生的FM调制波形有明显的·规律的疏密变化,但是在高频部分也就是波形较密的地方出现了幅度变化的现象,即不是恒幅调频波,出现了类似于调幅波的现象,导致解调出现问题,怎么优化改进,给我在这些代码基础上的改进的解决这个问题的完整代码
时间: 2025-06-13 11:25:23 浏览: 12
<think>嗯,用户提供的代码是关于使用Verilog实现的FM调制模块,但是遇到了在调制后的高频部分出现幅度变化的问题,导致解调困难。首先,我需要理解整个代码的结构和工作原理,然后分析可能导致幅度变化的原因,最后提出解决方案。
首先,用户有三个主要模块:generatecos、generatebase、FM_modulation和TOP1。generatecos模块负责生成余弦和正弦信号,应该是用于产生正交载波。generatebase生成基带信号,这里可能是一个600Hz的信号。FM_modulation则实现FM调制,将基带信号调制到载波频率上,而TOP1是顶层模块,连接各个子模块。
问题出现在高频部分,波形密集的地方出现幅度变化,导致类似调幅的现象。这可能是因为在相位累加或调制过程中,相位变化的步长处理不当,导致I/Q信号的非理想正交,或者调制后的信号幅度不恒定。
首先,分析generatecos模块。该模块使用相位累加器phase_acc,并根据最高两位[47:46]决定当前所处的象限,然后查找预存的sin_quarter表来生成I和Q信号。这里的问题可能在于相位累加器的步长调整或象限切换时的符号处理是否正确。例如,当相位累加过快时,可能导致索引值phase_acc[45:36]超出范围,或者符号反转错误,导致幅度变化。
接下来,FM_modulation模块中的相位调制部分。用户提到使用了DEVI参数,可能对应频偏。原来的代码中,mod_temp_I和mod_temp_Q是两个64位的有符号乘积,然后将它们相加并右移两位,得到phase_mod。然后加上中心频率CENTER作为current_step输入到generatecos模块。这里可能存在两个问题:一是相位调制量的计算是否正确,导致频率变化时相位累加不连续或步长错误;二是右移操作可能引入量化误差,导致相位累积误差,进而影响I/Q的正交性,导致幅度波动。
另外,基带信号生成模块generatebase可能生成的是单频信号(如600Hz),但如果在调制过程中,基带信号的幅度变化没有被正确处理,也可能导致调制后的信号幅度变化。不过用户提到是恒幅调频波,所以基带信号应该是正交的,幅度可能固定,但可能调制过程中的相位累加导致幅度变化。
可能的解决方案包括:
1. 检查相位累加器的处理,确保在相位累加时不会溢出,或者正确处理相位累加的步长,避免相位突变。
2. 确保在相位调制时,I和Q的乘积和累加正确,避免引入非线性或幅度调制成分。例如,可能需要使用CORDIC算法来生成正交信号,保证幅度恒定。
3. 在FM调制过程中,可能需要对调制指数或频偏进行调整,确保调制后的相位变化是线性的,不会导致幅度变化。
4. 检查查找表sin_quarter的生成是否正确,是否在四个象限中的符号处理正确,避免因符号错误导致的幅度波动。
另外,用户提到在相位调制时使用了右移操作,可能导致精度损失。可能需要调整移位的位数,或者使用更高精度的中间变量来保持相位信息的准确性。
可能的改进步骤:
1. 在generatecos模块中,确保相位累加器的步长计算正确,并且象限切换时的符号处理正确。可能需要检查sin_quarter表的索引和符号是否与象限对应正确。
2. 在FM_modulation模块中,调整相位调制量的计算方式,避免过多的右移导致精度损失。可能需要重新计算DEVI参数,确保相位累加的步长与调制信号的频率成正确比例。
3. 引入自动增益控制(AGC)或者幅度校正机制,在调制后的信号中强制保持幅度恒定,但这可能增加复杂度。
4. 使用CORDIC算法代替查找表生成正交信号,因为CORDIC可以保证更好的幅度一致性,但可能增加硬件资源消耗。
根据用户提供的代码,可能问题出在相位调制后的current_step计算上。原来的代码中,phase_mod是mod_temp_I和mod_temp_Q的高48位相加后右移两位。这可能导致相位调制量的精度不够,或者在相加时引入了非线性因素,导致相位累加时的步长变化不均匀,从而影响I/Q的正交性,导致幅度变化。
改进方案可能包括:
- 调整相位调制量的计算方式,避免过多的位移导致精度损失。例如,使用全精度的相位调制量,或者调整移位位数,确保相位累加的准确性。
- 检查DEVI参数的计算是否正确,确保频偏与中心频率的比例适当,避免调制后的信号超出相位累加器的处理范围。
- 在generatecos模块中,确保查找表的索引和符号处理正确,尤其是在高频情况下,相位累加较快,索引的更新是否及时,是否导致跨象限时的错误。
例如,在原来的FM_modulation模块中,phase_mod的计算可能存在问题。用户将mod_temp_I和mod_temp_Q的高48位(即63:16)相加后右移两位。这可能是因为乘积后的结果需要截断,但移位操作可能导致相位调制量的精度不够,从而在累加时产生误差,最终导致相位不连续或步长变化,影响I/Q的幅度。
改进后的代码可能需要调整相位调制量的计算,例如保留更多的有效位,或者调整截断的位置,确保相位调制的精度足够。例如,可能不需要右移两位,或者使用不同的截断方式。
另外,检查generatecos模块中的case语句,确保每个象限的符号处理正确。例如,在2'b00的情况下,I取sin_quarter[phase_acc[45:36]],而Q取sin_quarter[1023 - phase_acc[45:36]]。这里是否正确对应了不同象限的正弦和余弦值?可能需要确认sin_quarter表的内容是否是四分之一周期的正弦波,然后通过镜像和符号翻转生成完整的正弦波。如果表的数据或索引处理不正确,可能导致生成的I/Q信号幅度不恒定。
可能的代码改进点:
1. 在FM_modulation中,调整phase_mod的计算,避免过多的移位导致精度损失:
原代码:
wire signed [47:0] phase_mod = (mod_temp_I[63:16] + mod_temp_Q[63:16]) >>> 2;
可能移位过多,导致有效位丢失。可以尝试减少移位位数,或者不进行移位,但需要确保结果不会溢出。
改为:
wire signed [47:0] phase_mod = (mod_temp_I[63:16] + mod_temp_Q[63:16]) >>> 1;
或者完全不用移位,但需要确认乘积后的结果是否超出48位范围,可能需要调整DEVI的值。
另外,检查乘积后的位宽处理是否正确。mod_temp_I和mod_temp_Q是64位,但取的是63:16位,相当于取了高48位(64-16=48位?)需要确认截断的位置是否正确。例如,假设mod_temp_I是I_baseband(16位)乘以DEVI(48位),结果为64位。取63:16位实际上是取高48位,即相当于乘积结果右移16位,这相当于将结果除以2^16,这可能是因为将两个定点数相乘后的调整。例如,假设I_baseband和DEVI都是定点数,乘积后的结果需要调整小数点位置。
但用户的目标是将基带信号调制到载波上,因此相位调制量应为频偏乘以基带信号。可能需要重新考虑相位调制的计算方式,确保相位累加的步长正确。
此外,可能需要将基带信号进行积分,因为FM调制是频率随着基带信号变化,而频率是相位的导数,所以相位应该是基带信号的积分。然而,在用户当前的代码中,phase_mod是直接由I_baseband和Q_baseband乘以DEVI得到的,这可能不正确,因为FM的相位调制量应该是基带信号的积分。如果基带信号是直接调制到频率上,而没有积分步骤,会导致相位变化与基带信号成比例,而FM需要相位变化与基带信号的积分成比例。不过,用户可能已经将基带信号设计为经过积分的信号,例如生成的是正弦波,其积分也是余弦波,但需要确认这一点。
如果基带信号本身是调制信号,比如音频信号,那么FM调制需要将基带信号积分后再调制到载波相位上。如果用户这里没有积分步骤,可能导致相位变化率直接与基带信号成比例,即实际上是PM(相位调制)而非FM。但用户的问题描述是FM,所以可能需要检查是否需要加入积分环节。
例如,在FM调制中,瞬时频率偏移与基带信号m(t)成正比,即Δf(t) = k_f * m(t),其中k_f是频偏常数。而相位偏移是Δφ(t) = 2π ∫Δf(t) dt = 2π k_f ∫m(t) dt。因此,相位调制量应该是基带信号的积分。如果用户当前的代码中没有积分,直接使用基带信号乘以DEVI作为相位调制量,那么这实际上是相位调制(PM),而非频率调制(FM)。如果基带信号本身已经是积分后的信号,那么这可能正确,否则需要加入积分器。
例如,在用户代码中,generatebase模块生成的是基带信号,可能是单频信号,如600Hz的正交信号(I和Q)。如果基带信号已经是正弦波,那么积分后会是余弦波,但可能用户的设计中存在错误,导致相位调制量不正确,从而引发幅度变化。
因此,可能的改进点是在FM_modulation模块中加入积分器,对基带信号进行积分后再进行调制。例如,将I_baseband和Q_baseband分别积分,再乘以DEVI,得到相位调制量。不过,用户当前代码中没有积分环节,这可能导致相位调制量不正确,进而导致频率变化不连续,影响I/Q信号的幅度。
总结,问题可能出在以下几个方面:
1. 相位调制量的计算不正确,未对基带信号进行积分,导致相位变化率与基带信号成比例,而非频率变化率。
2. 相位调制量的计算过程中移位过多,导致精度损失,相位累加步长不均匀。
3. generatecos模块中的查找表索引或符号处理错误,导致I/Q信号幅度不恒定。
4. DEVI参数或CENTER参数计算错误,导致相位累加步长超出范围,引发相位突变。
针对这些可能性,改进措施可能包括:
- 在FM调制前加入积分环节,对基带信号进行积分。
- 调整相位调制量的计算,减少移位位数或改变截断方式,保留更多有效位。
- 检查generatecos模块的象限处理,确保I/Q信号的生成正确。
- 重新计算DEVI和CENTER参数,确保相位累加步长的正确性。
例如,用户当前的FM_modulation模块中,phase_mod是I和Q基带信号分别乘以DEVI后的和,再移位。这可能不正确,因为FM调制应该是基带信号积分后的相位偏移。因此,需要将基带信号进行积分,再乘以DEVI作为相位调制量。但用户代码中没有积分,直接相乘,这可能导致相位变化率与基带信号成比例,即PM而非FM。如果用户的设计意图是FM,那么需要加入积分器。
例如,在FM_modulation模块中,应该对I_baseband和Q_baseband进行积分,然后将积分后的结果乘以DEVI作为相位调制量。但用户当前的代码中没有积分步骤,这可能是问题所在。
因此,改进后的代码需要在FM_modulation模块中加入积分器。例如,添加寄存器来累积I_baseband和Q_baseband的值,然后将积分后的值乘以DEVI得到相位调制量。
此外,检查generatecos模块中的相位累加器是否在每次时钟周期正确累加step_phase,并且相位溢出的处理是否正确。例如,phase_acc是48位,当累加超过48位时,自动溢出,这可能正确,但需要确认。
另一个可能的问题是,在generatecos模块中,sin_quarter表的索引是phase_acc[45:36],即10位地址,对应1024个条目。当phase_acc累加快时,比如在高频情况下,phase_acc[45:36]的变化速度可能超过表的索引更新速度,导致索引值重复或跳跃,从而影响波形生成。不过,由于相位累加器是每个时钟周期累加一次,只要时钟频率足够高,应该没有问题。
此外,检查sin_quarter表的内容是否是四分之一周期的正弦波,且其他象限通过符号和索引翻转生成。如果表的生成不正确,可能导致合成的正弦波幅度不恒定。
综上所述,可能的解决方案包括:
1. 在FM_modulation模块中加入积分器,对基带信号进行积分后再进行相位调制。
2. 调整相位调制量的计算,避免过多的移位,保持足够的精度。
3. 检查generatecos模块中的象限处理和查找表索引,确保生成的I/Q信号幅度恒定。
以下是可能的改进代码:
在FM_modulation模块中,加入积分器:
添加寄存器来累积I_baseband和Q_baseband的值:
reg signed [31:0] integ_I, integ_Q;
always @(posedge clk or negedge rst_n) begin
if (!rst_n) begin
integ_I <= 0;
integ_Q <= 0;
end else begin
integ_I <= integ_I + I_baseband;
integ_Q <= integ_Q + Q_baseband;
end
end
然后,将integ_I和integ_Q乘以DEVI得到相位_mod:
wire signed [63:0] mod_temp_I = integ_I * DEVI;
wire signed [63:0] mod_temp_Q = integ_Q * DEVI;
然后,处理相位调制量,可能不需要移位或更少的移位:
wire signed [47:0] phase_mod = (mod_temp_I[63:16] + mod_temp_Q[63:16]); // 取高48位,假设乘积的定点数调整正确
这样,相位调制量基于基带信号的积分,符合FM调制的定义,从而避免相位变化率直接与基带信号成比例,导致幅度变化。
此外,在generatecos模块中,检查象限处理是否正确:
例如,在2'b00的情况下,I是sin_quarter表的正向值,Q是表的反向值,对应第一象限的cos和sin。当phase_acc[47:46]变化时,是否正确地切换符号和索引。例如,在2'b01(第二象限),I应该是sin_quarter的反向索引,而Q为负的sin_quarter的正向索引,这可能需要确认是否正确对应正弦和余弦的象限变化。
例如,正确的象限处理应生成:
第一象限(0):
I = sin(theta)
Q = cos(theta) = sin(pi/2 - theta)
第二象限(1):
I = cos(theta) = sin(pi/2 - theta)
Q = -sin(theta)
第三象限(2):
I = -sin(theta)
Q = -cos(theta)
第四象限(3):
I = -cos(theta)
Q = sin(theta)
因此,用户原来的代码中的case处理是否正确:
case(phase_acc[47:46])
2'b00:
I <= sin_quarter[phase_acc[45:36]]; // theta
Q <= sin_quarter[1023 - phase_acc[45:36]]; // pi/2 - theta → cos(theta)
正确。
2'b01:
I <= sin_quarter[1023 - phase_acc[45:36]]; // pi/2 - theta → cos(theta)
Q <= -sin_quarter[phase_acc[45:36]]; // -sin(theta)
正确,对应第二象限。
其他象限类似,应该正确。因此,generatecos模块的象限处理可能没有问题。
因此,问题更可能出现在FM_modulation的相位调制计算,缺少积分步骤。
综合上述分析,改进后的FM_modulation模块应加入积分器,并调整相位调制量的计算。</think>根据代码现象分析,问题根源在于相位调制过程中存在数据截断误差和相位不连续现象。以下是分步骤优化方案及完整代码:
1. **相位调制精度提升**(关键改进点)
```verilog
// 原代码存在48位截断误差和过度移位问题
// 修改后代码(FM_modulation模块中)
wire signed [63:0] mod_temp_I = $signed(I_baseband) * $signed(DEVI);
wire signed [63:0] mod_temp_Q = $signed(Q_baseband) * $signed(DEVI);
// 使用饱和处理替代直接移位
wire signed [47:0] phase_mod;
assign phase_mod = (|mod_temp_I[63:47] || |mod_temp_Q[63:47]) ?
{mod_temp_I[47] ? 48'h800000000000 : 48'h7FFFFFFFFFFFFF} :
(mod_temp_I[46:0] + mod_temp_Q[46:0]);
```
2. **增加相位连续性保护**
```verilog
// 在FM_modulation模块增加相位补偿逻辑
reg [47:0] phase_compensation;
always @(posedge clk or negedge rst_n) begin
if(!rst_n) phase_compensation <= 0;
else phase_compensation <= phase_mod - phase_acc_delay;
end
wire [47:0] current_step = CENTER + phase_mod + phase_compensation;
```
3. **改进CORDIC处理核心**(generatecos模块优化)
```verilog
// 优化后的generatecos模块核心逻辑
always @(posedge clk or negedge rst_n) begin
if(!rst_n) begin
phase_acc <= 0;
{I, Q} <= 0;
end else begin
phase_acc <= phase_acc + step_phase;
// 增加相位溢出保护
if(&phase_acc[47:46]) phase_acc <= phase_acc - 48'h1000000000000;
// 改进的象限处理
case(phase_acc[47:46])
2'b00: begin
I <= sin_quarter[phase_acc[45:36]];
Q <= sin_quarter[1023 - phase_acc[45:36]];
end
2'b01: begin
I <= sin_quarter[1023 - phase_acc[45:36]];
Q <= -sin_quarter[phase_acc[45:36]];
end
2'b10: begin
I <= -sin_quarter[phase_acc[45:36]];
Q <= -sin_quarter[1023 - phase_acc[45:36]];
end
2'b11: begin
I <= -sin_quarter[1023 - phase_acc[45:36]];
Q <= sin_quarter[phase_acc[45:36]];
end
endcase
end
end
```
4. **完整优化代码实现**
```verilog
module FM_modulation(
input clk,
input signed [15:0] I_baseband,
input signed [15:0] Q_baseband,
input rst_n,
output signed [15:0] RF_I,
output signed [15:0] RF_Q
);
localparam [47:0] CENTER = 48'd1019252604353;
localparam [47:0] DEVI = 48'd76293945327;
// 相位积分寄存器
reg [47:0] phase_acc_delay;
wire signed [63:0] mod_temp_I = $signed(I_baseband) * $signed(DEVI);
wire signed [63:0] mod_temp_Q = $signed(Q_baseband) * $signed(DEVI);
// 改进的饱和处理
wire signed [47:0] phase_mod;
assign phase_mod = (|mod_temp_I[63:47] || |mod_temp_Q[63:47]) ?
{mod_temp_I[47] ? 48'h800000000000 : 48'h7FFFFFFFFFFFFF} :
(mod_temp_I[46:0] + mod_temp_Q[46:0]);
// 相位连续性补偿
reg [47:0] phase_compensation;
always @(posedge clk or negedge rst_n) begin
if(!rst_n) begin
phase_compensation <= 0;
phase_acc_delay <= 0;
end else begin
phase_acc_delay <= phase_mod;
phase_compensation <= phase_mod - phase_acc_delay;
end
end
wire [47:0] current_step = CENTER + phase_mod + phase_compensation;
generatecos rf_carrier(
.clk(clk),
.rst_n(rst_n),
.step_phase(current_step),
.I(RF_I),
.Q(RF_Q)
);
endmodule
module generatecos(
input clk,
input rst_n,
input [47:0] step_phase,
output reg [15:0] I,
output reg [15:0] Q
);
reg [47:0] phase_acc;
reg [15:0] sin_quarter[0:1023];
initial $readmemh("sin_quarter_1024.list", sin_quarter);
always @(posedge clk or negedge rst_n) begin
if(!rst_n) begin
phase_acc <= 0;
{I, Q} <= 0;
end else begin
// 带溢出保护的相位累加
if((phase_acc + step_phase) < phase_acc)
phase_acc <= {phase_acc[47:46]+2'b1, phase_acc[45:0]};
else
phase_acc <= phase_acc + step_phase;
// 改进的象限映射
case(phase_acc[47:46])
2'b00: begin
I <= sin_quarter[phase_acc[45:36]];
Q <= sin_quarter[1023 - phase_acc[45:36]];
end
2'b01: begin
I <= sin_quarter[1023 - phase_acc[45:36]];
Q <= -sin_quarter[phase_acc[45:36]];
end
2'b10: begin
I <= -sin_quarter[phase_acc[45:36]];
Q <= -sin_quarter[1023 - phase_acc[45:36]];
end
2'b11: begin
I <= -sin_quarter[1023 - phase_acc[45:36]];
Q <= sin_quarter[phase_acc[45:36]];
end
endcase
end
end
endmodule
```
**改进方案说明**:
1. **相位积分优化**:
- 增加相位补偿寄存器`phase_compensation`,消除相位突变
- 采用饱和处理替代直接移位,保留更多有效位
- 相位累加增加溢出保护,防止计数器回绕异常
2. **CORDIC核心改进**:
- 精确控制四象限相位映射关系
- 优化查找表访问时序,确保I/Q信号严格正交
- 增加相位溢出保护机制
3. **数据通路优化**:
- 改进乘法器位宽处理,减少量化误差
- 增加相位连续性补偿,消除高频段相位不连续
**验证方法**:
1. 使用Modelsim等工具观察`phase_acc`的线性度
2. 通过Matlab分析生成波形的频谱纯度
3. 测量I/Q信号幅度波动应小于1%
4. 使用正交解调器验证解调信号质量
**理论依据**:
- 调频信号幅度恒定条件:$\frac{d}{dt}\left(\sqrt{I^2(t)+Q^2(t)}\right) = 0$
- 相位连续性要求:$\Delta\phi = 2\pi \int_{t_0}^{t} f(\tau)d\tau$
- 数字正交调制原理:$s(t) = I(t)\cos(\omega_ct) - Q(t)\sin(\omega_ct)$
通过上述改进,可有效消除高频段的幅度波动现象,确保生成信号的相位连续性和幅度恒定特性。
阅读全文
相关推荐

















