Physical Design Implementation of 32bit RISC Processor Using Synopsys ICC Primetime & StarRC XT
Physical Design Implementation of 32bit RISC Processor Using Synopsys ICC Primetime & StarRC XT
1.INTRODUCTION
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 748
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 02 | Feb 2019 www.irjet.net p-ISSN: 2395-0072
Inputs Required Are Gate level netlist, Logical (Timing) & This is the first major step in getting the layout done. Here
Physical views of standard cells & all other IPs used in the floor plan determines your chip quality. At this step, we
design, Timing constraints (SDC), Power Intent (UPF / define the size of your chip/block, allocates power routing
CPF), FP DEF & Scan DEF, Technology file & RC Co-efficient resources, place the hard macros, and reserve space for
files. standard cells. A Satisfactory floorplan can make
2.2 Key checks to Qualify Import Design implementation method (place, CTS, route & timing
closure) cake walk. On similar lines a bad floorplan can
Here Checks of errors and warning have been done while create all kind issues in the design (congestion, timing,
reading netlist, timing constraints, UPF/CPF, black boxes, noise, IR, routing issues). A bad floorplan will propel up
MV design (equivalent to LP checks) and assign & tri the area, power & affects reliability, life of the IC and also it
statements (Usually its checked & fixed after Synthesis) can increase overall IC cost (more effort to closure, more
LVTs/ULVTs)
2.3 Sanity Checks
3.1 Deciding the Utilization factor & aspect ratio
Sanity checks essentially check the condition of
netlist in terminology of timing, it also consists of a. Utilization factor decides the size of the block meaning
read-through the issues allied to library files, timing suppose out of 100 percent we give utilization factor of 70
constraints, IOs and optimization directives. Sanity
percent it means 70 percent will be used for placing
checks which have been performed are as
macros and instances in the design and remaining 30
1. Library checks: to check the missing cell information, percent will be used for routing purpose to connect all the
missing pin information & if any Duplicate cells present or macros and instances in the design.
not.
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 749
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 02 | Feb 2019 www.irjet.net p-ISSN: 2395-0072
c. After utilization and aspect ratio we go for pin iii. Orient macros to minimize distance between pins.
placement. In pin placement we have to place pins legally When you decide the orientation of macros, you also have
to take account of pins positions and their connections.
3.2 Macros Placement
iv. Reserve enough room around macros. For regular
Method to place macros which have been followed in this net routing and power grid, you have to reserve enough
design as follows. routing space around macros. In this case estimating
routing resources with precision is very important. Use
i. place macros around chip periphery. If you don’t have the congestion map from trial Route to identify hot spots
reasonable rationale to place the macro inside the core between macros and adjust their placement as needed.
area, then place macros around the chip periphery. Placing The space between macros is given by equation
a macro inside the core can invite serious consequence
during routing due to a lot of detour routing, because
macros are equal to a large obstacle for routing. Another
advantage to placing the hard macros around the core v. Reduce open fields as much as possible. Except for
periphery is it's easier to supply power to them, and reserved routing resources, remove dead space to increase
reduces the change of IR drop problems to macros the area for random logic. Choosing different aspect ratio
consuming high amounts of power. (if that option is available) can eliminate open fields.
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 750
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 02 | Feb 2019 www.irjet.net p-ISSN: 2395-0072
One way to overcome the complication concern is to CTS is the procedure of insertion of buffers or inverters
perform placement in several controllable steps as along the clock paths of ASIC design in order to achieve
discussed below. zero/minimum skew or balanced skew. The goal of CTS is
to reduce skew and insertion delay. Apart from these,
Global Placement: Global placement aims at generating a useful skew is also added in the design by way of buffers
coarse placement solution that may violate some and inverters.
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 751
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 02 | Feb 2019 www.irjet.net p-ISSN: 2395-0072
Fig -15: HTree clock and its schematic with added buffer
and inverter to remove hold violation
As the post CTS was competed the setup and hold timings
where meeting, results shown below
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 752
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 02 | Feb 2019 www.irjet.net p-ISSN: 2395-0072
types of routing one is global routing and the other is the International Journal of Emerging Technology and
detailed routing. In case of the global routing the Advanced Engineering. IJETAE, 2012 April; 2(4):340–
interconnections between the standard cells connects 6.
loosely, while in case of the detailed routing the detouring 6. neeraj Jain. VLSI design and optimized
of connections are reduced. During the placement of the implementation of a risc processor using xilinx tool.
standard cells also trial route is done to estimate the static International Journal of Advanced Research in
timing analysis weather the design met the timing Computer Science and Electronics Engineering
. in detail routing router runs search and (IJARCSEE). 2012 Dec; 1(10):52–6.
repair routing here it locates shorts and opens and spacing 7. Reaz MBI, Amin N , Ibrahimy MI , Mohd-Yasin F,
violations so, it reroutes the effected area to eliminate Mohammad A. Zero skew clock routing for fast clock
violations. As the fixes are done and timings are met this tree generation. Canadian Conference on Electrical
procedure nothing but called as enginnering change and Computer Engineering. (CCECE). 2008 May; 4–
order(ECO) hence we conclude the physical design flow by 7:23–8.
handing the GDS II file to the further ASIC flow stage. 8. 10. Tsai JL, Chen TH and Chen CCP. Zeroskewclock
tree optimization with buffer insertion/sizing and
wire sizing. IEEE Transactions on Computer-Aided
Design of Integrated Circuits and Systems. April 2004;
23(4):565–72.
9. 11. KV Rao, A Angeline, VSK Bhaaskaran. Design of a
16 bit RISC processor. Indian Journal of Science and
Technology. August 2015; 8(20):1–7.
7. CONCLUSION
REFERENCES
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 753