半導體製成
半導體製成
Manufacturing Technology
Chapter 1, Introduction
Hong Xiao, Ph. D.
[email protected]
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 1
Objective
After taking this course, you will able to
• Introduction
• IC Device and Design
• Semiconductor Manufacturing Processes
• Future Trends
Photo courtesy:
AT&T Archive
10M
80486
Pentium
1M
80386
100K 8086 80286
n+ n+
IC Design:
V dd
(a)
CMOS Inverter
NMOS PMOS
V ss
V out
(b)
P-well
Metal 1 Polycide gate and local N-well
interconnection Contact
Metal 1, AlCu
W
PMD
n+ n+ STI p+ p+
P-Well
P-Epi
N-Well
(c)
P-Wafer
Mask 3, shallow trench isolation Mask 4, 7, 9, N-Vt, LDD, S/D Mask 5, 8, 10, P-Vt, LDD, S/D
Quartz substrate
Dielectric Test
Metallization CMP
deposition
Wafers
Design
Dielectric Test
Metallization CMP
deposition
Wafers
Design
Wafers good
YW =
Waferstotal
Dies
YD =
good
Dies total
Chips good
YC =
Chipstotal
YT = YW×YD×YC
1
Y∝
(1 + DA) n
Die
Test die
Dies
10000 Cl
as
s1
00
Cl ,0
# of particles / ft3
1000 as 00
s1
0,
Cl 00
100 Cl as 0
Cl as s1
as s1 ,0
s1 00 00
10 Cl 0
as
s1
Cl
1 as
sM
-1
0.1
0.1 1.0 10
Particle size in micron
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 19
Definition of Airborne Particulate
Cleanliness Class per Fed. Std. 209E
Particles/ft3
Class
0.1 µm 0.2 µm 0.3 µm 0.5 µm 5 µm
1 35 7.5 3 1
10 350 75 30 10
1000 1000 7
10000 10000 70
Particles
on Mask
Stump Hole on
on +PR −PR
Film Film
Substrate Substrate
Photoresist
Screen Oxide
Partially Implanted Junctions
Entrance Shelf of
Gloves
To
Cleanroom
Wash/Clean
Stations
Shelf of
Gloves
Storage
Benches
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 24
IC Fabrication Process Module
Thin film growth,
dep. and/or CMP
Photolithography
PR Stripping PR Stripping
RTA or Diffusion
Corridor
Service Area
Quartz
Tube
Gas flow
Distance
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 28
Vertical Furnace
Process
Chamber
Heaters
Wafers
Tower
Stepper
Wafer
Chill Plates Hot Plates
Developer Movement
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 30
Cluster Tool with Etch and Strip
Chambers
PR Strip PR Strip
Chamber Chamber
Etch Etch
Chamber Chamber
Transfer
Robot
Chamber
PECVD
Chamber
Transfer
Robot
Chamber
Ti/TiN Ti/TiN
Chamber Chamber
Transfer
Robot
Chamber
Equipment Area
Process Area
Service Area
Wafer Loading Doors
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 35
Test Results
Failed die
Pins
Bumps
Chip
Socket Pins
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 43
Bump Contact
Bumps
Chip
Socket Pins
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 44
Heating and Bumps Melt
Bumps
Chip
Socket Pins
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 45
Flip Chip Packaging
Chip
Socket Pins
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 46
Molding Cavity for Plastic Packaging
Top Chase Molding Cavity
Lead Frame
Chip Bond Metallization
Pins
Bottom Chase
Ceramic Cap
Cap Seal
Metallization Layer 2 Layer 2
Pins
• What is semiconductor
• Basic semiconductor devices
• Basics of IC processing
P-type
Dopant
N-type Dopants
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 6
Orbital and Energy Band
Structure of an Atom
Valence shells
Conducting band, Ec
Valence band, Ev
Eg = 1.1 eV Eg = 8 eV
Si
Si Si Si
Si
Si
Si Si Si
Si
Si Si
Si - Si
Si Si - Si
Valence band, Ev
Ea ~ 0.05 eV
Si Si - Si
Valence band, Ev
Electron
Resistivity
P-type, Boron
N-type,
Phosphorus
Dopant concentration
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 14
Dopant Concentration and
Resistivity
• Higher dopant concentration, more carriers
(electrons or holes)
• Higher conductivity, lower resistivity
• Electrons move faster than holes
• N-type silicon has lower resistivity than p-
type silicon at the same dopant concentration
• Resistor
• Capacitor
• Diode
• Bipolar Transistor
• MOS Transistor
l
R=ρ
wh
ρ: Resistivity
κ l
hl
h C =κ
d d
κ: Dielectric Constant
Dielectric, κ Metal, ρ
I
l
• P-N Junction
• Allows electric current go through only
when it is positively biased.
V1 V2
P1 P2
current
• V1 > V2 , • P1 > P2, current
Transition region
−− ++
−− ++
−−
P −−
++
++
N
−− ++
Vn
V0
Vp
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 25
Intrinsic Potential
kT Na Nd
V0 = ln 2
q ni
V
-I 0
• PNP or NPN
• Switch
• Amplifier
• Analog circuit
• Fast, high power device
C
C
B
E C
B
P N P
E
P-substrate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 31
MOS Transistor
• Metal-oxide-semiconductor
• Also called MOSFET (MOS Field Effect
Transistor)
• Simple, symmetric structure
• Switch, good for digital, logic circuit
• Most commonly used devices in the
semiconductor industry
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 32
NMOS Device
Basic Structure
VG VD
VG
“Metal” Gate
Ground VD
n+ n+
p-Si
Source Drain
“Metal” Gate
+++++++
SiO2 SiO2 −−−−−−−
n+ n+ n+ n+
p-Si p-Si
Source Drain Source Drain
“Metal” Gate
SiO2 −−−−−−−
SiO2 +++++++
p+ p+ p+ p+
n-Si n-Si
Source Drain Source Drain
• Bipolar
• PMOS
• NMOS
• CMOS
• BiCMOS
}
Compound
100% }
4%
} 8%
Bipolar
50%
MOSFET 88%
PMOS
V in Vout
NMOS
Vss
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 45
CMOS IC
n+ Source/Drain p+ Source/Drain
Gate Oxide
Polysilicon
• Memory
• Microprocessor
• Application specific IC (ASIC)
Word line
NMOS
Capacitor
• Non-volatile memory
• Keeping data ever without power supply
• Computer bios memory which keeps boot
up instructions
• Floating gate
• UV light memory erase
SiO2
n+
P-silicon
n-epi
n+ buried layer
P-silicon
p+ p+
n-epi
n+ buried layer
P-silicon
n+ p n+
p+ p+
n-epi
n+ buried layer
P-silicon
n+ p n+
p+ p+
n-epi
n+ buried layer
P-silicon
P-silicon
N-Silicon N-Silicon
Photoresist
N-Silicon N-Silicon
Photoresist PR
N-Silicon N-Silicon
PR PR
N-Silicon N-Silicon
p+ p+
N-Silicon N-Silicon
p+ p+
p+ p+
N-Silicon N-Silicon
p+ p+ p+ p+
N-Silicon N-Silicon
p+ p+ p+ p+
N-Silicon N-Silicon
p+ p+
N-Silicon
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 72
NMOS Process after mid-1970s
• Doping: ion implantation replaced diffusion
• NMOS replaced PMOS
– NMOS is faster than PMOS
• Self-aligned source/drain
e
id
n
co
ox
ili
eld
lys
Fi
Po
Gate
n+ n+
p-silicon
Oxide Gate
Etch p-Si
p-Si Oxidation
Al·Si
PSG PSG PSG Metal
poly poly
Etch Dep.
p-Si p-Si
Al·Si
Al·Si SiN
NMOS In Out
Vss 0 1
1 0
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 80
CMOS Chip with 2 Metal Layers
PD2 Nitride
PD1 Oxide
Metal 2, Al·Cu·Si
IMD USG dep/etch/dep
Al·Cu·Si
PMD BPSG
LOCOS
SiO2
n+ n+ p+ p+
p+ p+
Poly Si Gate N-well
P-type substrate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 81
CMOS Chip
with 4 Metal Passivation 2, nitride
Lead-tin
alloy bump
Passivation 1, USG
Layers Metal 4 Copper
Tantalum
barrier layer
FSG
FSG
Tungsten plug Tantalum
M1 Cu Cu FSG
barrier layer
FSG
T/TiN barrier &
Tungsten local PSG Tungsten adhesion layer
Interconnection
STI n+ n+ USG p+ p+
P-well PMD nitride
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm N-well 82 layer
barrier
P-epi
P-wafer
Summary
• Semiconductors are the materials with
conductivity between conductor and
insulator
• Its conductivity can be controlled by dopant
concentration and applied voltage
• Silicon, germanium, and gallium arsenate
• Silicon most popular: abundant and stable
oxide
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 83
Summary
• Boron doped semiconductor is p-type,
majority carriers are holes
• P, As, or Sb doped semiconductor is p-type,
the majority carriers are electrons
• Higher dopant concentration, lower resistivity
• At the same dopant concentration, n-type has
lower resistivity than p-type
Grain
Boundary
Grain
Si
Si
Si
Si
Si
<100> plane
x
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 10
Crystal Orientations: <111>
z
<111> plane
<100> plane
x
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 11
Crystal Orientations: <110>
z
<110> plane
x
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 12
<100> Orientation Plane
Basic lattice cell Atom
Impurity in
Interstitial Site
Silicon
Interstitial
• Epitaxy deposition
Si + HCl Silicon
→ TCS Powder
Condenser
Filters
H2 and TCS
Liquid
TCS TCS+H2→EGS+HCl
Carrier gas
bubbles
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 24
Electronic Grade Silicon
Source: http://www.fullman.com/semiconductors/_polysilicon.html
Graphite Crucible
Source: http://www.fullman.com/semiconductors/_crystalgrowing.html
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 28
Floating Zone Method
Single Crystal
Silicon
Seed Crystal
Orientation Coolant
Notch
Crystal Ingot
Saw Blade Ingot
Movement
Diamond Coating
Pressure
Slurry
Wafer Holder
Wafer
Polishing Pad
76 µm
After Edge Rounding 914 µm
12.5 µm
After Lapping 814 µm
<2.5 µm
After Etch 750 µm
•Definition
•Purposes
•Epitaxy Reactors
•Epitaxy Process
Metal 1, Al•Cu
W
BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-type Epitaxy Silicon
P-Wafer
Silane SiH4
Dichlorosilane DCS SiH2Cl2
Trichlorosilane TCS SiHCl3
Tetrachlorosilane SiCl4
Diborane B2H6
Phosphine PH3
Arsine AsH3
SiH2Cl2
AsH3
H2
HCl
Si AsH3
As H
0.5
SiH4
0.2 Mass transport
limited
0.1 SiHCl 3
0.05
Growth
0.01
0.7 0.8 0.9 1.0 1.1
Hong Xiao, Ph. D. 1000/T(K)
www2.austin.cc.tx.us/HongXiao/Book.htm 48
Barrel Reactor
Radiation
Heating
Coils Wafers
Wafers
Reactants
Heating
Coils
Reactants and
byproducts
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 50
Horizontal Reactor
Heating Coils
Wafers
Reactants
Reactants and
byproducts
Reactants
Reactants &
byproducts
Substrate
• Advantages of Silicon
– Abundant, cheap
– Stable and useful oxide
Dielectric Test
Metallization CMP
Thin Film
Wafers
Design
Control System
Loading Vacuum
Process Tube Exhaust Gas Panel
Station System
Interface Interface Interface
Interface Interface
Board Board Board
Board Board
Control Valve
Regulator
Gas cylinders
Wafers
Process
gases To
Exhaust
Wafers
Suscepter
Tower
Quartz
Tube
Gas flow
Distance
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 23
Vertical Furnace, Process Position
Process
Chamber
Heaters
Wafers
Suscepter Tower
Quartz
Tube
Gas flow
Distance
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 30
Furnace
• Wafer Clean Station
• Wafer Loading Station
– Manual wafer loading
– Automatic wafer loading
• Oxidation Process Automation
Wafers
Suscepter Tower
Si + O2 → SiO2
Silicon Silicon
O2 Dioxide
O2 O2 O2
O2
O2 O2 O2
O2
O2
O2 O2
O2 O2
O2
55% 45%
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 41
Some Facts About Silicon
Name Silicon
Symbol Si
Atomic number 14
Atomic weight 28.0855
Discoverer Jöns Jacob Berzelius
Discovered at Sweden
Discovery date 1824
Origin of name From the Latin word "silicis" meaning "flint"
Bond length in single crystal Si 2.352 Å
Density of solid 2.33 g/cm 3
Molar volume 12.06 cm 3
Velocity of sound 2200 m/sec
Hardness 6.5
Electrical resistivity 100,000 µΩ ⋅cm
Reflectivity 28%
Melting point 1414 °C
Boiling point 2900 °C
Thermal conductivity 150 W m -1 K -1
Coefficient of linear thermal 2.6×10 -6 K -1
expansion
Etchants (wet) HNO 4 and HF, KOH, etc.
Etchants (dry) HBr, Cl 2, NF3 , etc.
Hong Xiao,
CVDPh.Precursor
D. www2.austin.cc.tx.us/HongXiao/Book.htm
SiH 4, SiH 2 Cl 2, SiHCl3 , and SiCl4 42
Fact About Oxygen
Name Oxygen
Symbol O
Atomic number 8
Atomic weight 15.9994
Discoverer Joseph Priestley, Carl Scheele
Discovered at England, Sweden
Discovery date 1774
Origin of name From the Greek words "oxy genes" meaning
"acid" (sharp) and "forming" (acid former)
Molar volume 17.36 cm3
Velocity of sound 317.5 m/sec
Refractivity 1.000271
Melting point 54.8 K = -218.35 °C
Boiling point 90.2 K = -182.95 °C
Thermal conductivity 0.02658 W m-1 K-1
Applications Thermal oxidation, oxide CVD, reactive
sputtering and photoresist stripping
Main sources O2, H 2 O, N2 O, O3
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 43
Application of Oxidation
• Diffusion Masking Layer
• Surface Passivation
– Screen oxide, pad oxide, barrier oxide
• Isolation
– Field oxide and LOCOS
• Gate oxide
Dopant
SiO2 SiO2
Si
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 45
Application, Surface Passivation
Pad Oxide Screen Oxide
Sacrificial Oxide Barrier Oxide
SiO2
Si
Dopant Ions
Photoresist Photoresist
Si Substrate
Screen Oxide
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 47
Pad and Barrier Oxides in STI Process
Nitride
Pad Oxide
Silicon
Trench Etch
Nitride USG
Pad Oxide
Silicon
Trench Fill
Barrier Oxide USG
Silicon
USG CMP; USG Anneal; Nitride and Pad Oxide Strip
Silicon nitride
Silicon Substrate
Silicon Dioxide
Silicon
Activation Area Field Oxidation
Field Oxide
Silicon
Oxide Etch
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 51
LOCOS Process
Pad Oxide
Silicon nitride
P-type substrate
LOCOS oxidation
Bird’s Beak
SiO2
p+ P-type substrate p+ Isolation Doping p
+
STI USG
P-Well N-Well
Strip Sacrificial Oxide
Gate Oxide
STI USG
P-Well N-Well
Gate Oxidation
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 54
Application, Device Dielectric
• Gate oxide: thinnest and most critical layer
• Capacitor dielectric
VG VD > 0
Poly Si
Gate
Thin oxide
n+ n+
p-Si
Source Drain
Electrons
Si Substrate
Native 15 - 20 Å undesirable -
• Particulates
• Organic residues
• Inorganic residues
• Native oxide layers
• HCl:H2O.
• Immersion in dunk tank followed by rinse,
spin dry and/or dry bake (100 to 125 °C).
• HF:H2O.
• Immersion in dunk tank or single wafer
vapor etcher followed by rinse, spin dry
and/or dry bake (100 to 125 °C).
• Si + O2 SiO2
• Oxygen comes from gas
• Silicon comes from substrate
• Oxygen diffuse cross existing silicon
dioxide layer and react with silicon
• The thicker of the film, the lower of the
growth rate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 64
Oxide Growth Rate Regime
X= t
A
Diffusion-limited Regime
X = √B t
Oxidation Time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 65
<100> Silicon Dry Oxidation
1.2
Oxide Thickness (micron)
0.8 1150 °C
1100 °C
0.6
1050 °C
0.4 1000 °C
950 °C
0.2
900 °C
0 2 4 6 8 10 12 14 16 18 20
Oxidation Time (hours)
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 66
Wet (Steam) Oxidation
• Si + 2H2O SiO2 + 2H2
• At high temperature H2O is dissociated to H
and H-O
• H-O diffuses faster in SiO2 than O2
• Wet oxidation has higher growth rate than
dry oxidation.
1050 °C
2.5
1000 °C
2.0
950 °C
1.5 900 °C
1.0
0.5
0 2 4 6 8 10 12 14 16 18 20
Oxidation Time (hours)
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 68
Oxidation Rate
• Temperature
• Chemistry, wet or dry oxidation
• Thickness
• Pressure
• Wafer orientation (<100> vs. <111>)
• Silicon dopant
0 1 2 3 4
Oxidation Time (hours)
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 72
Oxidation Rate
Dopant Concentration
• Dopant elements and concentration
• Highly phosphorus doped silicon has higher
growth rate, less dense film and etch faster.
• Generally highly doped region has higher
grow rate than lightly doped region.
• More pronounced in the linear stage (thin
oxides) of oxidation.
Dopant Concentration
Dopant Concentration
Original Distribution
SiO2 Si SiO2 Si
Control Valves
Process N2
Purge N2
Regulator
HCl
O2
Dangling
Bond
SiO2
+ + + + +
Si-SiO2 Si
Interface
• Boiler
• Bubbler
• Flush
• Pyrogenic
Process
MFC Exhaust
Tube
Vapor Bubbles
Water
Heater
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 87
Bubbler System
N2 + H2O Process
N2 MFC
Tube
Heated Gas Line
Exhaust
Water N2 Bubbles
Heater
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 88
Flush System
Water
Hot Plate
Process
N2 MFC
Tube
Heater
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 89
Pyrogenic Steam System
O2
H2 To
Exhaust
MFC
MFC
MFC Wafers Burn Box
Control Valves
Process N2
Purge N2
Regulator
Scrubbier
O2
H2
Exhaust
O2 flow Temperature
HCl flow
N2 flow
Time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 96
High Pressure Oxidation
High Pressure
Inert Gas
High Pressure
Oxidant Gas
1 atmosphere 5 hours
25 atmosphere 12 minutes
1 atmosphere 1000 °C
• Complex system
• Safety issues
p
s
n1, k 1, t1
n2, k 2
Substrate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 104
C-V Test Configuration
Large Resistor
Capacitor
Meter
Oxide Aluminum
Silicon
Metal Platform
Heater Heater
Dopant
Silicon
Dopant
Junction Depth
Silicon
Dopant Concentration
p+ p+
N-Silicon N-Silicon
Gate
7 8 9 10
104/T (K)
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 116
Diffusion Doping Process
• Both dopant concentration and junction depth
are related to temperature.
• No way to independently to control both factor
• Isotropic dopant profile
• Replaced by ion implantation after the mid-
1970s.
MFC
MFC
MFC Wafers Burn Box
Control Valves
Process N2
Purge N 2
POCl 3
Regulator
Scrubbier
O2
Exhaust
Si Substrate
SiO2
Si Substrate
PR
SiO2
Si Substrate
PR
SiO2
Si Substrate
SiO2
Si Substrate
SiO2
Si Substrate
SiO2
Si Substrate
SiO2
Si Substrate
Temperature
N2 Flow
POCl3 Flow
O2 Flow
Wafer
Position
SiO2
Si Substrate
SiO2
Si Substrate
Temperature
N2 Flow
O2 Flow
Wafer
Position
P+
Photoresist
N-Well
P-Epi
N-Well
P-Epi
Silicide
STI STI
Si Substrate
Silicide
STI STI
Si Substrate
Silicide
Polysilicon
Gate Oxide
Boro-Silicate Glass
STI STI
Si Substrate
Polysilicon Silicide
Gate Oxide
STI STI
Si Substrate
Rs = ρ/t
P1 P2 P3 P4
S1 S2 S3
Doped Region
Substrate
Lattice Atoms
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 148
After Ion Implantation
Polysilicon
STI n+ n+ USG p+ p+
Ti Deposition
Titanium Silicide
STI n+ n+ USG
USG p+ p+
Annealing
Sidewall Spacer Titanium Silicide
STI n+ n+ USG
USG p+ p+
Ti Strip
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 155
Aluminum-silicon Alloy
• Form on silicon surface
• Prevent junction spiking due to silicon
dissolving in aluminum
Al Al Al
SiO2
p+ p+
n-type Silicon
PSG
After SiO2
LOCOS
n+ n+ p+ p+
Reflow p+ p+
N-well
P-type substrate
• Wafer loading
• Temperature rump-up
• Temperature stabilization
• Reflow
• Temperature rump-down
• Wafer unloading
• Epitaxy
• Polysilicon
• Silicon Nitride
• Monocrystralline layer
• Epitaxy silicon
• Epitaxy silicon-germanium
• Epitaxy GaAs
SiH4 → Si + H2
Silane Epi-Si Hydrogen
• DCS process
Heat (1150 °C)
SiH2Cl2 → Si + 2HCl
Silane Epi-Si Hydrochloride
AsH3 → As + 3/2 H2
Arsine As Hydrogen
PH3 → P + 3/2 H2
Arsine Phosphorus Hydrogen
B2H6 → 2 B + 3 H2
Diborane Boron Hydrogen
Poly 3
TiSi2
Sidewall
Spacer Poly 2
Poly 1
n+ n+ n+
p-Silicon
SiH4 → Si + H2
Silane Poly-Si Hydrogen
• DCS process
Heat (750 °C)
SiH2Cl2 → Si + 2HCl
Silane Poly-Si Hydrochlride
AsH3 → As + 3/2 H2
Arsine As Hydrogen
PH3 → P + 3/2 H2
Phosphine Phosphorus Hydrogen
B2H6 → 2 B + 3 H2
Diborane Boron Hydrogen
Grain
Boundary
Grain
MFC
MFC
Wafers Burn Box
Control Valves
Process N2
Purge N2
Regulator
SiH4
Scrubbier
Exhaust
Wafer
Temperature
N2 Flow
Silane Flow
Chamber
Pressure
Wafer Tower
Position
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 187
Polycide Deposition System
Polysilicon Deposition Chambers
WSi x WSi x
Deposition Deposition
Chamber Chamber
Wafer
Transfer Cooldown
Robot Chamber
Wafer Loading
Stations
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 188
Polycide Deposition System
WSix Deposition Chamber RTA Chamber
Poly Si
Deposition
Chamber
Wafer Transfer
Cool down
Robot
Chamber
Wafer Loading
Stations
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 189
Silicon Nitride
• Dense material
• Widely used as diffusion barrier layer and
passivation layer
• LPCVD (front-end) and PECVD (back-end)
• LPCVD nitride usually is deposited in a furnace
LOCOS oxidation
Bird’s Beak
SiO2
p+ P-type substrate p+ Isolation Doping p
+
Nitride USG
Pad Oxide
Silicon
Photoresist Photoresist
Photoresist Photoresist
FSG
PSG W Sidewall
PMD
Spacer
Barrier PSG W
Nitride
STI n+ n+ USG p+ p+
P-Well N-Well
Tower
MFC
MFC
MFC
MFC
NH 3
Purge N2
SiH2Cl 2
Scrubbier
Regulator
Wafer
Temperature
N2 Flow
NH3 Flow
DCS Flow
Chamber
Pressure
Wafer Tower
Position
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 203
Future Trends of HT-CVD
Process Quartz
Gases Chamber
Top
Lamps
Bottom
Lamps
Wafer
Photo courtesy of
Applied Materials, Inc
Anneal Rate
Diffusion Rate
Temperature
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 214
Dopant Diffusion After Anneal
Gate
N2 Flow
Time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 217
Thermal Nitridization
• Titanium PVD
• Thermal nitridization with NH3
SiO2
Ti TiN
SiO2
O2 flow Temperature
HCl flow
N2 flow
Time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 221
Future Tends
• Rapid thermal process (RTP)
• In-situ process monitoring
• Cluster tools
Reactants
Reactants &
byproducts
Furnace
Temperature
RTP
Room
Temp.
Time
RTO/RTP RTCVD
α-Si
e-Beam or Photo
Ion Implant
Mask or
EDA PR Chip
Reticle Etch
Photolithography
Dielectric Test
Metallization CMP
deposition
Wafers
IC Design
UV light
Mask/reticle
Photoresist Exposure
Substrate
Negative
Photoresist
Substrate After
Positive Development
Photoresist
Substrate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 10
Photoresist Chemistry
• Polymer
• Solvents
• Sensitizers
• Additives
Mask
Negative
Photoresist
Expose
Development
− PR + PR
Film Film
Substrate Substrate
Exposed PR Exposed PR
Heat
+ H+ + + H+
Previous
Process Clean Surface
PR coating Soft bake
preparation Alignment
&
Hard bake Development Exposure
PEB
Track system
Photo cell
Rejected
Strip
PR Inspection
Photo Bay
Approved
Etch Ion
Implant
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 36
Wafer Clean
Gate Oxide
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 37
Pre-bake and Primer Vapor
Primer
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 38
Photoresist Coating
Primer
Photoresist
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 39
Soft Bake
Photoresist
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 40
Alignment and Exposure
Gate Mask
Photoresist
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 41
Alignment and Exposure
Gate Mask
Photoresist
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 42
Post Exposure Bake
Photoresist
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 43
Development
PR
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 44
Hard Bake
PR
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 45
Pattern Inspection
PR
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 46
Wafer Clean
• Remove contaminants
• Remove particulate
• Reduce pinholes and other defects
• Improve photoresist adhesion
• Basic steps
– Chemical clean
– Rinse
– Dry
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 47
Photolithography Process, Clean
• Older ways
– High-pressure nitrogen blow-off
– Rotating brush scrubber
– High-pressure water stream
• Dehydration bake
• Remove moisture from wafer surface
• Promote adhesion between PR and surface
• Usually around 100 °C
• Integration with primer coating
Wafer
Wafer HMDS
Vapor
2.0
27 cst
20 cst
1.5
1.0 10 cst
5 cst
0.5
0
2k 3k 4k 5k 6k 7k
Time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 57
PR Spin Coater
EBR
Water
Sleeve
Chuck
Drain Exhaust
Vacuum
PR dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 61
Photoresist Suck Back
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 62
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 63
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 64
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 65
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 66
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 67
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 68
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 69
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 70
Photoresist Spin Coating
PR dispenser
PR suck back nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 71
Edge Bead Removal (EBR)
Solvent
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 73
Edge Bead Removal
Solvent
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 74
Ready For Soft Bake
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 75
Optical Edge Bead Removal
• After alignment and exposure
• Wafer edge expose (WEE)
• Exposed photoresist at edge dissolves
during development
Photoresist
Wafer
Chuck
Spindle
Wafer
Chuck
Spindle
To vacuum
pump
Wafer MW Source
Heated N 2 Photoresist
Heater
Chuck
Wafers
Vacuum Wafer
Heater Vacuum
• Contact printer
• Proximity printer
• Projection printer
• Stepper
Lenses
Mask
Photoresist
Wafer
UV Light Mask
PR
N-Silicon
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 88
Proximity Printer
• ~ 10 µm from wafer surface
• No direct contact
• Longer mask lifetime
• Resolution: > 3 µm
Lenses
Mask
~10 µm
Photoresist
Wafer
PR
N-Silicon
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 91
Projection Printer
Light Source
Lenses
Mask
Photoresist
Wafer
Lens
Synchronized Mask
mask and wafer
movement Lens
Photoresist
Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 94
Stepper
• Most popular used photolithography tool in
the advanced IC fabs
• Reduction of image gives high resolution
• 0.25 µm and beyond
• Very expensive
Projection
Lens
Reticle
Projection
Lens
Wafer
Wafer Stage
Alignment Laser
Reticle Stage
Reticle
Interferometer
Laser Projection Lens
X
Interferometer
Mirror Set
Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 98
Wafer Stage
Exposure Light Source
• Short wavelength
• High intensity
• Stable
I-line G-line
(365) (436)
Intensity (a.u)
H-line
(405)
Deep UV
(<260)
Surface Surface of
the of PR λ/nPR the substrate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 106
Standing Wave Effect on Photoresist
λ/nPR
Photoresist
Substrate
Overexposure
Underexposure
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 107
Post Exposure Bake
• Photoresist glass transition temperature Tg
• Baking temperature higher than Tg
• Thermal movement of photoresist molecules
• Rearrangement of the overexposed and
underexposed PR molecules
• Average out standing wave effect,
• Smooth PR sidewall and improve resolution
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 108
Post Exposure Bake
• For DUV chemical amplified photoresist, PEB
provides the heat needed for acid diffusion
and amplification.
• After the PEB process, the images of the
exposed areas appear on the photoresist, due
to the significant chemical change after the
acid amplification
Photoresist
Substrate
PR PR
Film Film
Substrate Substrate
PR Coating Exposure
PR PR
Film Film
Substrate Substrate
Etching Development
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 116
Development Profiles
PR PR
Substrate Substrate
PR PR
Substrate Substrate
Positive PR Negative PR
Developer TMAH Xylene
Water
sleeve
Chuck
Drain
Vacuum
Wafer
Chuck
Spindle
Wafer
Exposed Chuck
Photoresist Spindle
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 122
Applying Development Solution
Exposed
Photoresist
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 123
Development Solution Spin Off
Edge PR Patterned
removed photoresist
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 124
DI Water Rinse
DI water
dispenser
nozzle
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 125
Spin Dry
Wafer
Chuck
Spindle
To vacuum
pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 126
Ready For Next Step
Wafer
Chuck
Spindle
Wafer
Pinhole
PR PR
Substrate Substrate
PR PR
Substrate Substrate
Normal Baking Over Baking
Electron Beam
More secondary
electrons on the
Less secondary
corners
electrons on the PR
sidewall and plate
surface Substrate
Run-in
θ Reticle rotation
Wafer rotation
Misplacement in x-direction
Misplacement in y-direction
Stepper
Track Robot
Stepper
Track Robot
Stepper
Track Robot
Stepper
Track Robot
Stepper
Track Robot
Stepper
Track Robot
Stepper
Track Robot
Stepper
Track Robot
Stepper
Track Robot
Wafer
Chill Plates Developer Hot Plates Movement
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 152
Stacked Track System
• Smaller footprint
• Lower cost of ownership (COO)
Developers
Hot Plates
Chill Plates
Spin
Coaters
Prep Chamber
• Optics
• Light diffraction
• Resolution
• Depth of focus (DOF)
Intensity of the
projected light
Strayed
refracted light D Mask
Lens ro
Diffracted light
collected by the Less diffraction after
lens focused by the lens
Ideal light
Intensity pattern
K 1λ
R=
NA
λ ΝΑ R
G-line 436 nm 0.60 ___ µm
I-line 365 nm 0.60 ___ µm
DUV 248 nm 0.60 ___ µm
193 nm 0.60 ___ µm
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 164
To Improve Resolution
• Increase NA
– Larger lens, could be too expensive and unpractical
– Reduce DOF and cause fabrication difficulties
• Reduce wavelength
– Need develop light source, PR and equipment
– Limitation for reducing wavelength
– UV to DUV, to EUV, and to X-Ray
• Reduce K1
– Phase shift mask
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 165
Wavelength and Frequency of
Electromagnetic Wave
Visible
RF MW IR UV X-ray γ-ray
4 6 8 10 12 14 16 18 20
10 10 10 10 10 10 10 10 10 f (Hz)
RF: Radio frequency; MW: Microwave; IR: infrared; and UV: ultraviolet
K 2λ
DOF = 2
2 ( NA )
K2 λ
DOF = Focus
2 ( NA ) 2
K 2λ
DOF =
2 ( NA ) 2
λ ΝΑ DOF
G-line 436 nm 0.60 ___ µm
I-line 365 nm 0.60 ___ µm
DUV 248 nm 0.60 ___ µm
193 nm 0.60 ___ µm
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 169
Depth of Focus
• Smaller numerical aperture, larger DOF
– Disposable cameras with very small lenses
– Almost everything is in focus
– Bad resolution
• Prefer reduce wavelength than increase NA
to improve resolution
• High resolution, small DOF
• Focus at the middle of PR layer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 170
Focus on the Mid-Plain to
Optimize the Resolution
1.0 lithography
1
0.8 0.8 Next Generation
0.6 0.5 Lithography
0.35
0.4 0.25
0.18 0.13
0.2 0.10 0.07
0
84 88 90 93 95 98 01 04 07 10 14
Year
nf Quartz substrate
d(nf − 1) = λ/2
nf : Refractive index of phase shift coating
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 177
Phase Shift Mask
Pellicle Chrome pattern Phase-shifting etch
d ng
Quartz substrate
d(ng − 1) = λ/2
ng: refractive index of the quartz substrate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 178
Phase Shift Mask Patterning
Normal Mask Phase Shift Mask
PR PR
Substrate Substrate
Final Pattern Final Pattern
PR PR
Substrate Substrate
Designed Pattern Designed Pattern
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 179
Future Trends
• Even shorter wavelength
– 193 nm
– 157 nm
• Silicate glass absorbs UV light when λ < 180 nm
• CaF2 optical system
• Next generation lithography (NGL)
– Extreme UV (EVU)
– Electron Beam
– X-ray (?)
Mask
Wafer
Mirror 2 Mirror 1
Beryllium X-ray
Gold
Photoresist
Substrate
Glass Beryllium
Gold
Chromium
Lens
Blanking Plate
Lens
Stigmator
Deflection
Coils
Lens
Wafer
• Moving Parts
• Hot surface
• High pressure lump
• What is plasma?
• Why use plasma?
• Ion bombardment
• Application of plasma process
• CVD
• Etch
• PVD
• Ion Implantation
• Photoresist strip
• Process chamber dry clean
• Quasi-neutral: ni ≈ ne
Dark
Electrodes Plasma spaces or
sheath
layers
To Vacuum Pump
e+A A+ + 2 e
Nucleus Nucleus
A* A + hν (Photos)
Impact
electron
Nucleus Nucleus
Ground State
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 15
Dissociation
• Electron collides with a molecule, it can
break the chemical bond and generate free
radicals:
e + AB A+B+ e
• Free radicals have at least one unpaired
electron and are chemically very reactive.
• Increasing chemical reaction rate
• Very important for both etch and CVD.
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 16
Dissociation
e-
Free Radicals
B
A B A
Molecule e-
Large Large
particle particle
Small Small
particle particle
(a) (b)
λ∝ 1
p
qB
Ω=
m
ρ = v⊥/Ω
Electrons with
enough energy
for ionization
Energy, E
2 - 3 eV
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 35
Ion Bombardment
• Anything close to plasma gets ion bombardment
• Very important for sputtering, etch and PECVD
• Mainly determined by RF power
• Pressure also can affect bombardment
Electrode
- - - - - - - - - - -
+ + + + + + + + + + + + + +
- - - - - - - - - - - - -
+ + + + + + + + + + + + + +
- - - - - - - - - - - -
+ + + + + + + + + + + + + +
- - - - - - - - - -
+ + + + + + + + + + + + + +
- - - - - - - -
+ + + + + + + + + + x
+ + + +
Sheath Potential
Vf
Dark space
DC Bias RF potential
Time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 40
DC biases and RF powers
Plasma potential
Plasma potential
DC bias
DC bias time
0 0
time
RF potentials
•Ion energy
•Ion density
•Both controlled by RF power
Grounded
RF hot
Vp = 10 − 20 V
0 time
Wafer Potential
DC bias
Self bias
V2
A1
A2
DC bias V1
V1 = 200 to 1000 V
4
V1/V2 =(A2/A1)
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 46
Question and Answer
• If the electrode area ratio is 1:3, what is the
difference between the DC bias and the self-
bias compare with the DC bias?
RF
Process
chamber Plasma Magnet coils
Wafer
Chuck
By-products to
the pump RF power
Backside
cooling helium
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 63
Remote Plasma Processes
• Need free radicals
– Enhance chemical reactions
• Don’t want ion bombardment
– Avoid plasma-induced damage
• Remote plasma systems
Process
chamber Free radicals
Heated plate
By-products to
the pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 65
Photoresist Strip
• Remove photoresist right after etch
• O2 and H2O chemistry
• Can be integrated with etch system
• In-situ etch and PR strip
• Improve both throughput and yield
Process chamber
Wafer with O H O O H O H O
photoresist
NF3 Plasma
Wafer
Process F F F
N2 F
chamber F N2
NF3 Plasma
CVD F F
N2 F
chamber F N2 F
RF current in coil
RF magnetic field
Plasma Wafer
Chamber body
E-chuck Bias RF
Helium
qB
Ω=
m
• Determined by magnetic field
Mic
row
ave
Pow
er
Magnetic
Coils ECR
Plasma
Magnetic
field line Wafer
E-chuck Bias RF
Helium
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 86
ECR
• Bias RF power controls the ion energy
• Microwave power controls the ion flux
• Magnet coil current controls plasma position
and process uniformity
• Helium backside cooling system with E-chuck
controls wafer temperature
• Introduction
• Safety
• Hardware
• Processes
• Summary
Dielectric Test
Metalization CMP
deposition
Wafers
Design
SiO2
Si Substrate
SiO2
Si Substrate
SiO2
Doped junction
Si Substrate
SiO2
Doped junction
Si Substrate
Gate Oxide
Metal Gate Metal Gate
Aligned Misaligned
SiO2 Poly Si P+
n+ n+
P-type Silicon
SiO2 PR
Si Si
Junction depth
Diffusion Ion implantation
Cannot independently control of the dopant Can independently control of the dopant
concentration and junction depth concentration and junction depth
Channeling
(S≈Se)
I II III
Stopping Power
Nuclear
Stopping
Electronic
Stopping
Ion Velocity
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 29
Ion Trajectory and Projected Range
Ion Trajectory
Ion Beam
Projected Range
ln (Concentration)
Projected
Range
P
B
0.100
As
Sb
0.010
10 100 1000
Implantation Energy (keV)
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 32
Barrier Thickness to Block
200 keV Ion Beam
1.20
1.00
Mask Thickness (micron)
0.80 B
0.60
P
0.40
As
0.20
Sb
0.00
Si SiO2 Si3N4 Al PR
Lots of collisions
Channeling Ion
Collisional Ion
Wafer
Surface
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 35
Post-collision Channeling
Collisional Channeling Collisional
Wafer
Surface
Polysilicon
Doped Region
Substrate
Shadowed Region
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 39
Shadowing Effect
Polysilicon
Doped Region
Substrate
Light Ion
Damaged Region
Heavy Ion
Gate
Poly Si Poly Si
Gate
SiO2
Si Si
Source/Drain
Next Step
Implanter
Vacuum
Ion Beam
Pump
Source Line
Electrical Vacuum
System Pump
Plasma Flooding Wafers
System
End Analyzer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 61
Ion Implantation: Gas System
• Special gas deliver system to handle
hazardous gases
• Special training needed to change gases
bottles
• Argon is used for purge and beam
calibration
• Exhaust system
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 64
Ion Implantation: Control System
• Ion energy, beam current, and ion species.
• Mechanical parts for loading and unloading
• Wafer movement to get uniform beam scan
• CPU board control boards
– Control boards collect data from the systems,
send it to CPU board to process,
– CPU sends instructions back to the systems
through the control board.
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 65
Ion Implantation: Beamline
• Ion source
• Extraction electrode
• Analyzer magnet
• Post acceleration
• Plasma flooding system
• End analyzer
Vacuum
Ion Beam
Pump
Source Line
RF Coils
+ RF Plasma
-
Extraction
Electrode
Ion Beam
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 70
Microwave Ion Source
Microwave
Magnetic
Coils ECR
Plasma
Magnetic
Field Line
Extraction
Electrode
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 71
Ion Implantation: Extraction
Ion Beam
+ –
Extraction Suppression Slit Extracting
Power, up Power, up to Ion Beam
to 60 kV 10 kV
– + Terminal Chassis
Flight Tube
Ion Beam
– –
Suppression Post Accel.
Power, up to Power, up
10 kV + to 60 kV
Terminal Chassis
+
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 80
Ion Beam Current Control
Fixed Defining Aperture
Ion Beam
Ions trajectory
Wafer ++++
DC Power Tungsten Ar
Ion
Filament Beam
+
−
Filament
Current Plasma
Electrons
Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 86
Electron Gun
Secondary Electron Target
Secondary
Electrons Electrons
Ion Beam
Electron
Gun Thermal
Filament
Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 87
Wafer Handling
• Ion beam diameter: ~25 mm (~1”),
• Wafer diameter: 200 mm (8”) or larger
• Needs to move beam or wafer, or both, to
scan ion beam across the whole wafer
– Spin wheel
– Spin disk
– Single wafer scan
Spin rate: to
2400 rpm Ion beam
Scanning
Ion Beam
Wafer
Movement
Ion Beam
Scanning Electrodes
Ion Beam
Magnets
Faraday
Water Cooled Current
Base Plate Detectors
N-well
Well P/600/2×1013 P/400/2×1013 P/300/1×1013
Anti-punch through P/100/5×1013 As/100/5×1012 As/50/2×1012
Threshold B/10/7×1012 B/5/3×1012 B/2/4×1012
Poly dope P/30/2×1015 B/20/2×1015 B/20/3×1015
Poly diffusion block - - N2/20/3×1015
Lightly doped drain (LDD) B/7/5×1013 B/5/1×1014 B/2/8×1013
Photoresist
N-Well
P-Epi
P-Wafer
B+
Photoresist
STI USG
P-Well N-Well
P-Epi
P-Wafer
P+
Photoresist
STI USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 99
Implantation Process: S/D Implantation
• Low energy (20 keV), high current (>1015/cm2)
P+
Photoresist
STI n+ n+ USG
P-Well N-Well
P-Epi
P-Wafer
Top View
Polysilicon
Side View Field Oxide Gate Oxide
Silicon Substrate
Photoresist
Screen Oxide
Partially Implanted Junctions
S1 S2 S3
Dope Region
Substrate
Pump
I ∆R Thermal Waver Laser
R Signal Detector
t I
t
Probe Laser
Photo Detector
+ −
Electron-hole pair −
+
+ −
− +
+ −
− +
+ −
Silicon substrate
− +
α-particle
n+ source/drain p+ source/drain
Gate oxide
Polysilicon
Dielectric
Layer
Heavily
doped Si
Silicon
Substrate
Magnet
Coils
ECR
plasma
Magnetic
field line Wafer
Bias RF
E-chuck
Helium
• Introduction
• Terminology
• Wet and dry etch
• Plasma basics
• Plasma etch processes
Photoresist
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 5
Gate Mask Exposure
Gate Mask
Photoresist
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 6
Development/Hard Bake/Inspection
PR
Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 7
Etch Polysilicon
Polysilicon
PR
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 8
Etch Polysilicon, Continue
Gate Oxide Polysilicon
PR
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 9
Strip Photoresist
Gate Oxide Polysilicon
STI USG
P-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 10
Ion Implantation
Dopant Ions, As+
Gate Oxide Polysilicon
STI n+ n+ USG
P-Well
Hong Xiao, Ph. D.
Source/Drain
www2.austin.cc.tx.us/HongXiao/Book.htm 11
Rapid Thermal Annealing
Gate Oxide Polysilicon Gate
STI n+ n+ USG
P-Well
Hong Xiao, Ph. D.
Source/Drain
www2.austin.cc.tx.us/HongXiao/Book.htm 12
Wafer Process Flow
Materials IC Fab
Dielectric Test
Metallization CMP
deposition
Wafers
Design
Passivation 2 Nitride
Passivation 1 M2 Oxide Al•Cu
W ILD-2, USG
Metal 1, Al•Cu
ILD-1, W-Plug
BPSG
USG n+ n+ STI p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. n-, LDD
www2.austin.cc.tx.us/HongXiao/Book.htm 16
Etch Terminology
• Etch rate
• Selectivity
• Etch uniformity
• Etch profile
• Wet etch
• Dry etch
• RIE
• Endpoint
17000-11000
ER = ----------------- = 6000 Å/min
1
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 19
Etch Uniformity
• Etch uniformity is a measure of the process
repeatability within the wafer (WIW) and wafer
to wafer (WTW)
• Thickness measurements are made before and
after etch at different points
• More measure points, higher the accuracy
• Standard deviation definition are normally used
• Different definitions give different results
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 20
Standard Deviation Non-uniformity
N points measurements
( x1 − x ) 2 + ( x2 − x ) 2 + ( x3 − x ) 2 + ⋅ ⋅ ⋅ + ( x N − x ) 2
σ=
N −1
x1 + x 2 + x3 + ⋅ ⋅ ⋅ + x N
x=
N
E1
S=
E2
E2 PR
BPSG
Poly-Si E1
Gate SiO2
Si
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 24
Selectivity
Etch rate 1
Selectivity =
Etch rate 2
6000
Selectivity = ----------------- = 200: 1
30
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 25
Etch Profiles
PR PR PR PR
Film Film Film
Substrate Substrate
Anisotropic Isotropic
PR PR PR PR
Film Film Film
Substrate Substrate
PR PR PR PR
Film Film Film
Substrate Substrate
PR PR PR
Film Film Film
Substrate Substrate
PR PR
Film
Substrate
Caused by PR
PR sidewall
deposition
Substrate
Substrate
Film ∆d
Substrate
∆d’
Film
Substrate
Substrate Substrate
Film 2 line A
Film 2 line B
PR Residues PR PR
Substrate
Photoresist
Wet etch
Dry etch Oxide
Metal
Wet etch
Silicon silicon
Grown SiO2
Silicon
Strip nitride,
Silicon pad oxide
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 49
Wet Etching Silicon Nitride
Ti Ti
TiSi2 TiSi2 TiSi2 TiSi2
Polysilicon gate Polysilicon gate Polysilicon gate
n- n- n- n- n- n-
n+ Gate oxide n+ Gate oxide n+ Gate oxide
n+ n+ n+
• Temperature
• Chemical concentration
• Composition of film to be etched
• Corrosive
• Oxidizer
• Special hazard
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 55
Wet Chemical Hazards
• HF
• Don’t feel when contact
• Attack bone and neutralize by calcium
• Acute pain
• Quasi-neutral: ni ≈ ne
λ∝ 1
p
•Ion energy
•Ion density
•Both controlled by RF power
Examples Wet etch, strip, RP etch Plasma patterned etches Argon sputtering
1 Generation of
Etchant Species Gas Flow
2 Diffusion to Surface
Ion Diffusion into
Bombardment 6
convection flow Sheath
3 Adsorption Boundary layer
layer
5 Desorption
Byproducts
4 Reaction
Film
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 81
Etch Profile Control
Damaging Blocking
Oxide Epi-silicon
Nitride Polysilicon
Metal
PR PR
Exposed Ions
atom Broken
bonds
Knocked Ions
away bottom
deposition Sidewall
deposition
• Optical endpoint
Microwave Byproducts to
or RF Free Radicals Vacuum Pump
Process
gases Plasma
RF RF
Plasma
Etch Wafer
Gas
In To Pump
Etch
RF RF
Tunnel
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 93
Batch RIE System
Chamber Lid
Wafers
Plasma
To Vacuum Pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 94
Schematic of an RIE System
Process
gases
Process
chamber Plasma Magnet
Wafer coils
Chuck
By-products to
the pump RF Power
Helium For
backside cooling
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 95
Purpose of Magnets
• Long MFP, insufficient ionization collisions
• In a magnetic field, electron is forced to spin
with very small gyro-radius
• Electrons have to travel longer distance
• More chance to collide
• Increasing plasma density at low pressure
Plasma
B E e Sheath
Wafer
Clamp Ring
Wafer
Seal
O-ring
Water-cooled pedestal,
cathode, or chuck
Helium
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 100
Electrostatic Chuck (E-chuck)
• Helium needs to be pressurized
• Wafer has high pressure at backside because low
chamber pressure
• Need mechanisms to hold wafer
• Either mechanical clamp or E-chuck
• Clamp ring causes particles and shadowing effect
• E-chuck is rapidly replacing clamp ring
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 101
Electrostatic Chuck
Plasma
Thermal
Conducting,
Wafer
Electrical
Insulating
Layer
Chuck
Bias Voltage
Helium
Process
chamber Plasma RF coils
Wafer
E-Chuck
Byproducts
to the pump Bias RF
Mic
row
ave
Pow
er
Magnetic
Coils ECR
Plasma
Magnetic
field line Wafer
E-chuck Bias RF
Helium
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 112
Endpoint
• Each atom has its own emission wavelength
• Color of plasma changes when etch
different materials
• Optical sensors can be used to detect the
change and indicate the endpoint for plasma
etch processes
Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 124
Challenge for Contact Etch
• Contact holes to polyside gate and local
interconnection are about half of the depth
of source/drain contact holes
• Require high (B)PSG to silicide selectivity
Photoresist
BPSG
∆t
t
STI n+
TiSi2
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 126
Contact Etch
• F/C ratio F/C > 3, etch dominant
F/C < 2, polymerization
• When etching oxide, oxygen byproduct can
react with C to free more fluorine
• When etching silicon or silicide, no oxygen
releasing, fluorine is consumed, F/C ratio
drop below 2 and start polymer deposition
• Polymer blocks further etch process
• High BPSG-to-TiSi2 selectivity
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 127
Dielectric Etch
plasma
CF4 → CF3 + F
plasma
4F + SiO2 → SiF4 + 2O
plasma
-200
Bias (Volts)
Etching
-100
Polymerization
0
1 2 3 4 F/C Ratio
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 129
Via Etch
• Etch USG
• Open via hole for metal to metal interconnections
• Need high selectivity over metal and photoresist
• Fluorine chemistry
IMD 1 USG
M1 Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 131
Etch Via
• PR mask
• Fluorine as the main etchant
• CF4, CHF3 and Ar are used for the etch
process. O2 or H2 also can be used
• High selectivity over metal
• Avoiding metal sputtering
• Dual damascene etch
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 132
Summary of Dielectric Etch
Materials Si3N4 or SiO2 PSG or BPSG USG or FSG Nitride and oxide
Etchants CF4, CHF 3 CF4, CHF3, ... CF4, CHF3, ... CF4, CHF3, ...
Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 135
Deep Trench Capacitor
Heavily Doped Silicon
PR Poly-Si
SiO2 SiO2
SiO2
Si Si Si
Etch hard mask Etch silicon Capacitor Formation
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 136
Some Facts About Bromine
Name Bromine
Symbol Br
Atomic number 35
Atomic weight 79.904
Discoverer Antoine-J. Balard
Discovered at France
Discovery date 1826
Origin of name From the Greek word "bromos" meaning "stench"
Molar volume 19.78 cm 3
Velocity of sound No data
Resistivity > 1018 µΩ cm
Refractive index 1.001132
Melting point -7.2 C
Boiling point 59 C
Thermal conductivity 0.12 W m-1 K-1
Applications Free bromine as the main etchant for single crystal
silicon etching processes
Source HBr
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 137
Single Crystal Silicon Etch Chemistry
plasma
HBr → H + Br
Br + Si → SiBr4
Polysilicon gate
STI USG
P-Well N-Well
P-Epi
P-Wafer
Photoresist
SiO 2 Poly
p+
N-well
Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 147
Etch Metal
• For metal interconnection
• Metal stack: TiN/Al•Cu/Ti
• Cl2 as the main etchant
• BCl3, N2 are used for sidewall passivation
• O2 is used to improve selectivity to oxide
• Main challenges: etch profile and avoiding
etch residue
• Metal grain size can affect etch process
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 148
Metal Etch Chemistries
plasma
Cl2 → Cl + Cl
plasma
Cl + Al → AlCl3
plasma
Cl + TiN → TiCl4 + N
plasma
Cl + Ti → TiCl4
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 149
Photoresist Dry Strip
• Remote plasma source
– Free radicals without ion bombardment
• High pressure, microwave plasma
• Very important to strip chlorine containing
PR after metal etch to avoid metal corrosion
• In-situ with etch process in a cluster tool
• Improve throughput and yield
H2O → 2H + O
H + Cl → HCl
O + PR → H2O + CO + CO2 +
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 151
Photoresist Strip Process
Microwave Remote plasma
chamber
H2O, O2 Plasma
Process chamber
Wafer with O H O O H O H O
photoresist
Polysilicon Gate
n- LDD n- LDD
Gate Oxide
Polysilicon Gate
n- LDD n- LDD
Gate Oxide
Polysilicon Gate
n- LDD n- LDD
Gate Oxide
Polysilicon Gate
n- LDD n- LDD
Gate Oxide
Polysilicon Gate
n- LDD n- LDD
Gate Oxide
Polysilicon Gate
n- LDD n- LDD
Gate Oxide
Polysilicon Gate
n- LDD n- LDD
Gate Oxide
Sidewall Sidewall
Polysilicon Gate Spacer
Spacer
n- LDD n- LDD
Gate Oxide
Selectivity
Etch Rate
ER Selectivity
RF Power
RF ↑
More Chemical
B↑
Pressure ↑
Antenna
Source RF
Magnetic
Coils plasma
Magnetic Wafer
field line
Bias RF
E-chuck
Helium
UV Mask
Exposure, partially
oxidation of Si-PR
Substrate
SiO2
SiO2
Si Si Si
STI n+ n+ p+ p+ STI
USG
P-well N-well
Sidewall
spacer P-epi TiN
P-wafer CVD
Forced
convection
region
Boundary
Byproducts Reactants
layer
Pedestal
Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 12
Deposition Process
Nucleation:
React on the surface
Island formation
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 13
Deposition Process
Islands grow,
Islands grow cross-section
• APCVD
• LPCVD
• PECVD
Wafers
Wafers
Heater
• Longer MFP
• Good step coverage & uniformity
• Vertical loading of wafer
• Fewer particles and increased productivity
• Less dependence on gas flow
• Vertical and horizontal furnace
Heating Coils
Loading To Pump
Door
Quartz
Process Gas Inlet Wafer Boat Tube
Center Zone
Temperature
Flat Zone
Distance
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 22
PECVD
• Developed when silicon nitride replaced
silicon dioxide for passivation layer.
Structure h
b
d
w
Substrate
180° 270°
B A
90°
C
Metal Dielectric
Metal Dielectric
Void
Metal Dielectric
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 30
Control of Arriving Angle
• |Changing pressure
• Tapering opening
APCVD LPCVD
High mobility
No mobility No mobility
PSG
Nitride
Silicon
Silicide
Silicide
Silicide
Silicide
Etch USG
Al·Cu
Dep.
USG
Al·Cu
Distance
from surface
Physisorbed precursor
Chemisorbed precursor
Substrate Surface
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 46
Dielectric CVD Precursors
• Silane (SiH4)
• TEOS (tetra-ethyl-oxy-silane, Si(OC2H5)4)
H
H
H
H Si H
Si
H H
H C H
TEOS Molecule
H C H
H H O H H
H C C O Si O C C H
H H O H H
H C H
H C H
30 30
10 10
3 3
1 1
10 20 30 40 50 60 70 80 90 100 110
Temperature (°C)
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 55
TEOS Delivery
• A liquid at room temperature with boiling
point at the sea level is 168 °C
– As a reference, boiling point of water (H2O) at sea
level is 100 °C
• Need delivery system to send its vapor to
process chamber
• Boiler, bubbler, and injection systems
Process
MFC Pump
chamber
TEOS
Thermostatic oven
Liquid
TEOS
Pump
Thermostatic
oven Carrier gas
bubbles
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 58
Injection System
Carrier
gas MFC
Pressurize
gas
Injection Process
LFC
valve chamber
Liquid TEOS
flow Heated gas
line, TEOS Pump
Liquid TEOS vapor and
carrier gas
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 59
Sticking Coefficient
• The probability that precursor atom forms
chemical bond with surface atom in one
collision
• Can be calculated by comparing the calculated
deposition rate with 100% sticking coefficient
and the measured actual deposition rate
SiH2 0.15
SiH 0.94
TEOS 10-3
WF6 10-4
TEOS
Silane
Ea
Precursor
∆Η Byproduct
Slope = −Ea /k
Gas-phase-nucleation
regime
1/T
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 66
Surface-Reaction-Limited Regime
• Chemical reaction rate can’t match precursor
diffusion and adsorption rates; precursors pile
up on the substrate surface and wait their turn
to react.
D.R. = C.R. [B] [C] []…
• Deposition rate is very sensitive to temperature
Dep. rate
insensitive to
temperature
Dep. rate
sensitive to
temperature
Temperature
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 69
CVD Reactor Deposition Regime
• Most single wafer process reactors are
designed in mass-transport-limited regime
• It is easier to control the gas flow rate
• Plasma or unstable chemicals such as ozone
are used to achieve mass-transport-limited-
regime at relatively low temperature
Similar dielectric strength, > 1×10 7 Similar dielectric strength, > 1×107 V/cm
V/cm
Not a good barrier for moisture and Good barrier for moisture and mobile ion
mobile ion (Na+) (Na+)
Substrate Substrate
• Doped oxide
• PSG or BPSG
• Phosphorus: gettering sodium and reduce flow
temperature.
• Boron: further reduces flow temperature without
excessive phosphorus
Electrons
Normal off Turn on by Na +
0% 2.2%
4.6% 7.2%
• Inter-metal dielectric
• Undoped silicate glass (USG) or FSG
• SOG
• Gap fill and planarization
• Temperature limited by metal melting
– Normally 400 °C
• PE-TEOS, O3-TEOS, and HDP
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 86
TEOS
H
• Tetraethyloxysilane, H C H
Si(OC2H5)4 H C H
O
• Liquid silicon source H H H H
H C C O Si O C C H
• Commonly used for
H H O H H
SiO2 deposition
H C H
• Good step coverage
H C H
and gap fill
H
METAL 4
IMD 3
METAL 3
IMD 2
• PE-TEOS
Photo courtesy:
Applied Materials • Sputtering
etchback
• PE-TEOS
θ1 n1 sin θ1 = n2 sin θ2
Vacuum
n1
Film
n2
θ2 Refractive light
Oxygen rich
Nitrogen rich 4.0 Polysilicon
Oxygen rich
Nitrogen rich 2.01 Si3N4 Silicon rich
Oxynitride
1.46 SiO2 Nitrogen rich
Oxygen rich Silicon rich
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 100
Ellipsometry R.I. Measurement
Elliptically Polarized
Reflected Light
Linearly Polarized Incident Light
p
s
n1, k1, t1
n2, k2
Substrate
Coupling head
Modes
Substrate
1 1 1
− =
Hong Xiao, Ph. D.
λ m λ m +1 2nt
www2.austin.cc.tx.us/HongXiao/Book.htm 114
Spectroreflectometry System
Detectors
UV lamp
Film
Substrate
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 115
Question
• Many advance thin film thickness
measurement tools allows user to choose
the refractive index of the film. If someone
mistakenly chooses the PE-TEOS USG film
refractive index to measure O3-TEOS USG
films thickness, what will be the effect on
the measurement result?
( x1 − x ) 2 + ( x 2 − x ) 2 + ( x3 − x ) 2 + ⋅ ⋅ ⋅ + ( x N − x ) 2
σ=
N −1
Substrate Substrate
Substrate
SiO2
Si At Room Temperature
∆L
∆L = α ∆T L
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 123
Coefficients of Thermal Expansion
t Dielectric ARC, n, k
Aluminum alloy
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 138
Dielectric ARC
• PECVD silane process
• N2O as oxygen and nitrogen source
plasma
SiH4 + N2O + He → SiOxNy + H2O + N2 + NH3 +
He + · · ·
heat
• FGS process
plasma
FSi(OC2H5)3 + Si(OC2H5)4 + O2 → SiOxFy + other volatile
(FTES) (TEOS) heat (FSG)
A B Dep.
Etch
C D
Sputter etch Sputter etch
Robot
chamber chamber
Transfer Dep.
chamber
Cassette Metal
handler
Chuck
By-products to
the pump RF power
1 µm planarization etchback
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 150
O3-TEOS Processes
• Ozone is a very unstable molecule,
O3 → O2 + O
• At 400 °C, half-lifetime of O3: < 1ms
• Used as carrier of free oxygen radicals
• Ozone reacts with TEOS form silicon oxide
• Excellent conformality and gap fill capability
• Sub-micron IC chip applications
• APCVD and SA-CVD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 151
Ozone Generation
O2 → O+O
O2 + N2 O2 + O3 + N2+ N2O + …
I = I0 exp(-XCL)
Mechanical
chopper L UV sensor
Ozone cell
UV Lamp Analyzer
O3/O2 O3/O2
• Main applications
– STI (higher temperature, ~ 550 °C)
– IMD (~ 400 °C)
• Main application
– PMD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 157
O3-TEOS BPSG
O 3 -TEOS
BPSG
Barrier
Nitride
Silicide
Poly Si
Spin-on glass
SOG cure
SOG
etchback
PECVD
USG cap
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 161
High-Density Plasma CVD
• Dep/etch/dep gap fill needs two chambers
• Narrower gaps may need more dep/etch
cycles to fill
• A tool can deposit and sputtering etch
simultaneously would be greatly helpful
• The solution: HDP-CVD
Plasma Wafer
Chamber body
E-chuck Bias RF
Helium
Magnet
coils Plasma
Wafer
Magnetic
field line
E-chuck Bias RF
Helium
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 166
HDP-CVD, IMD Application
CF4 → CF3 + F
plasma
F + SiO2 → SiF4 + O
heat
plasma
F + Si3N4 → SiF4 + N
heat
F F F
F F F F C
F C F
F F F F F F F F F
C C C C C C C C C
F F F F F F F F F
Process F F
N2 F
chamber F N2 F
Heated plate
N2, SiF4,O2… To pump
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 185
Remote Plasma Clean
• Microwave (MW) power, NF3 as fluorine source
• 99% of NF3 dissociated in MW plasma
• Free fluorine reacts with the film in chamber
– No plasma inside process chamber
– No ion bombardment
– Prolongs their lifetime
• Disadvantages:
– Less maturity, higher cost, and using NF3
– Can not use optical endpoint system, may need FTIR
system to achieve the automatic process endpoint.
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 186
Process Trends and Troubleshooting
• Process response to input parameters
change
• Help to determine the root cause if some
wrong
Process
D
window
Temperature
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 189
Stress and RF Power
Process
Compressive
window
RF Power
Process
n o i t i s o p eD
window
c a r f eR
Silane flow
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 191
Silane PECVD Process Trends
S
WERR
t
Process
window
r
e
Silane flow
s
Ion bombardment
reduce adsorption
Depositon
Free radicals
enhance reaction
RF power
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 193
Relationship of Deposition Rate
and Temperature
Dep. Rate
insensitive to
Deposition
temperature
Dep. Rate
sensitive to
temperature
Temperature
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 194
PE-TEOS Trends
• RF power↑: dep rate↑↓, compressive stress↑
– In process window, dep rate go down
• Temperature↑: dep rate↑↓
– In process window, dep rare go down
• TEOS flow↑: dep rate↑, compressive stress↓
Process
s o p e D
window
c a r f eR
TEOS Flow
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 196
PE-TEOS Trends: TEOS Flow Rate
WERR
Process
window
ev i s s e r pmoC
TEOS Flow
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 197
PE-TEOS Trends: Temperature
Increasing Reducing
chemical adsorption rate
reaction
rate
Deposition
Larger spacing
Lower dep. rate
Wafer Center thick profile
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 207
Troubleshooting: Non-uniformity
• If the non-uniformity is side-to-side
• Check wafer leveling or centering
• Leak check of slip valve of the chamber
Wafer
0 Radius
• Interconnection
• Gate and electrodes
• Micro-mirror
• Fuse
Metal 1, Al•Cu
W
BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-epi
P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 6
Applications: Interconnection
M1 Cu Cu Cu
FSG
FSG
W
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 9
Wafer Process Flow
Materials IC Fab
Dielectric Test
Metalization CMP
deposition
Wafers
Design
Ti Ti
TiSi2 TiSi2 TiSi2 TiSi2
Polysilicon gate Polysilicon gate Polysilicon gate
n- n- n- n- n- n-
n+ Gate oxide n+ Gate oxide n+ Gate oxide
n+ n+ n+
Al Al Al
SiO2
p+ p+
n-type Silicon
Al-Cu
Ti
W
PSG
Ti
n+
TiSi 2
TiN/Ti
Oxide
Stylus
Film
Substrate
Stage
Change of reflectivity
acoustic wave
Second echo
TiN d = vs·∆t/2
Third echo
TEOS SiO2 ∆t ∆t
10 20 30 40 50 60 70 80 90
Time (psec)
• d = 1225 Å
27 26 49
2 6 29
28 48
47
11 10 25
30 12 46
24
2 31 13 3
2
9 23 45
32 14 4 1
22 448
3 1 5 7 3 1 5 9 5 7 21 43
33 15 6
34 16 20
42
4 35
17
18
19
41
36 40
4 8 37 38 39
Force Force
Metal
Substrate
Force Force
Metal
Substrate
Rs = ρ/t
L
R=ρ
A
R = Resistance, ρ = Resistivity
L = Length, A = Area of line cross-section
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 78
Sheet Resistance Concepts
L
t w I
Rs=ρ/t Rs =ρ/t
Yes.
I
V
P1 P2 P3 P4
S1 S2 S3
Film
Substrate
Process
Chamber Wafer
Heated plate
To pump
Tapered
Straight Sidewall
Sidewall
Area = A
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 90
Tungsten CVD Basics
Tungsten source gas: tungsten hexafluoride (WF6)
Metal Oxide
500 to 600 °C
WF6 + 3.5 SiH2Cl2 → WSi2 + 1.5 SiF4 + 7 HCl
TiCl4 + 2 H2 → Ti + 4 HCl
Ti + Si → TiSi2
Ti Layer
Metal Oxide
Heated
Susceptor
• Evaporation
• Sputtering
• Filaments
• Flash hot plate
• Electron beam
Wafers
Aluminum
Charge Aluminum Vapor
10-6 Torr
Wafers
• DC Diode
• RF Diode
• Magnetron
Ar+
Momentum transfer will dislodge surface
atoms off
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 117
DC Diode Sputtering
-V
Target
Argon Plasma
Wafer Chuck
Magnets
Target
Shield,
Liner Target
Wafer
Wafer Chuck
PVD
Chamber
CVD
Chamber
Native Oxide
Ar+
Metal
Target
Plasma
Collimator
Film
Via holes
Target
Inductive Plasma
Coils
RF
Via Hole
TiSi2
n+
FSG
SiN FSG
FSG Cu Cu
Cu
Ta FSG
SiN FSG
FSG Cu Cu
Cu
Ta
FSG
SiN FSG
FSG Cu Cu
SiN
Ta
FSG Cu
SiN
FSG Cu Cu
Cu2+
Tantalum
Cu2+
Cu(hfac)2 + H2 → Cu + 2 H(hfac)
• 350 to 450 °C
• Too high for polymeric low-κ dielectric
F3 C CF3
C O O C
HC Cu CH
C O O C
F3 C CF3
Dielectric Test
Metalization CMP
deposition
Wafers
Design
Passivation 1
Al•Cu Alloy Al•Cu USG
CMP USG Metal 4 Ti/TiN
IMD33
IMD USG
TiN ARC
Metal 3 Al•Cu Alloy
CMP USG, W Ti
IMD 2 USG W
Ti/TiN
M2 Al•Cu
CMP USG, W
IMD 1 W USG W TiSi2
Partial Planarization
Global Planarization
Planarity R(µm) θ
Surface Smoothing 0.1 to 2.0 > 30
Local Planarization 2.0 to 100 30 to 0.5
Global Planarization > 100 < 0.5
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
USG
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
USG
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
USG
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
USG
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Oxide
Metal Metal
Photoresist
Oxide
Metal Metal
Oxide
Metal Metal
Oxide
Metal Metal
Oxide
Metal Metal
IMD 1
Metal 1
PMD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 35
Planarized Dielectric Surface, no
Metal Line Thinning Effect
Metal 2
IMD 1
Metal 1
PMD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 36
Advantages of CMP
• Eliminate the requirement of excessive
exposure and development to clear the thicker
photoresist regions due to the dielectric steps
– This improves the resolution of via hole and
metal line pattering processes
• Uniform thin film deposition
– Reduce required over etch time
– Reduce chance of undercut or substrate loss
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 37
Over Exposure and Over
Development
Possible CD loss due to more
exposure and development
Needs more exposure
PR and development PR
PR Metal 2
Metal 2
IMD 1
Metal 2
IMD 1
IMD 1
CMP USG
CMP USG
CMP W
CMP PSG, W
CMP PSG, W
CMP USG
STI
Heavily
doped Si Pad
Oxide
Silicon
Substrate
Silicon
Substrate
Silicon
Substrate
Silicon
Substrate
Silicon
Substrate
Silicon
Substrate
Dielectric Nitride
Layer
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
Dielectric Nitride
Layer
Pad
Oxide
Heavily
doped Si
Silicon
Substrate
Silicon
Substrate
Silicon
Substrate
Silicon
Substrate
Silicon
Substrate
Heavily
doped Si
Silicon
Substrate
Nitride
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 59
PECVD USG
Nitride
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 60
PECVD Etch Stop Nitride
Nitride
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 61
PECVD USG
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 62
Photoresist Coating
Photoresist
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 63
Via 1 Mask
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 65
Etch USG, Stop on Nitride
Photoresist
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 66
Strip Photoresist
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 67
Photoresist Coating
Photoresist
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 68
Metal 1 Mask
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 70
Etch USG and Nitride
Photoresist
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 71
Strip Photoresist
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 72
Deposit Tantalum Barrier Layer
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 73
Deposit Copper
Copper
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 74
CMP Copper and Tantalum
M1 USG Cu
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 75
PECVD Seal Nitride
M1 USG Cu
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 76
CMP Hardware
• Polishing pad
• Wafer carrier
• Slurry dispenser
Polishing Pad
Platen
Wafer
Polish
Pad
Orbital Motion, ωp
Slurry
Wafer
Film
Wafer
Film
Polishing Pad
Pad Movement
Slurry
Wafer Dispenser
Carrier
Polishing
Pad Pad
Conditioner
Carrier
Membrane
Restraining
Ring Membrane Restraining
Ring
Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 90
Pad Conditioner
• Sweeps across the pad to increase surface
roughness required by planarization and
removes the used slurry
• Conditioner is a stainless steel plate coated
with nickel-plated diamond grits
• Diabond CMP conditioner: stainless steel
plate coated with CVD diamond film plated
diamond grids
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 91
Surface of CMP Conditioners
Silicon Substrate
Stainless Steel Plate Stainless Steel Plate
Conventional Diabond
Neutral
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14
DI + Suspensions LFC
CMP
pH Adjuster LFC Mixer
Tool
Oxidant LFC
<1710 °C Agglomerates
Aggregates
>1800 °C
O2 SiCl4
H2
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 103
Fumed Silica Particles
1
W12O4110-
WO3
WO42-
0
WO2 Corrosive
-1
W
-2
0 2 4 6 8 10 12 14
pH
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 109
Tungsten Slurry
• Adjusting slurry pH allows low wet etch rates
and chemical-mechanical polish removal
• Tungsten slurries normally are quite acidic with
pH level from 4 to 2.
• Tungsten slurries have lower solid contents and
much shorter shelf lifetime.
• Tungsten slurries require mechanical agitation
prior to and during delivery to the CMP tools
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 110
Aluminum Slurry
• Water-based acidic solutions
• H2O2 as oxidant,
• Alumina as abrasives.
• Limited shelf lifetime
• H2O2 molecule is unstable
• Aluminum CMP is not popularly used
– Hard to compete with copper metallization
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 111
Copper Slurry
• Acidic solutions
• Oxidants: hydrogen peroxide (H2O2),
ethanol (HOC2H5) with nitric acid (HNO4),
ammonium hydroxide (NH4OH) with
potassium ferri- and ferro-cyanide, or nitric
acid with benzotriazole
• Alumina as abrasives
Corrosive
Corrosive
Potential (Eh) Volts
1 Passivation
Cu2+
Cu2O
0 Cu+ Cu
-1 Passivation
regime with Immunity
stable alumina
-2
0 2 4 6 8 10 12 14
pH
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 113
Copper Slurry
• Need colloidally stable slurry to achieve
consistent polishing process results
• A colloidally stable alumina suspension can
be achieved at pH just below 7.
• Only a small window for copper slurries to
achieve both electrochemical passivation
and colloidally stable suspension of
aqueous alumina particles
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 114
CMP Basics
• Removal rate
• Uniformity
• Selectivity
• Defects
Polishing Pad
W Oxide W W
Cu Oxide Cu Cu
W Oxide W W
USG Tungsten
USG USG
Silicon Substrate
Reflected Light
Scattered light
Photodetector
Scattered light
Particle
or Defect Scattered light
Substrate
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Elliptical Mirror
Reflected Light
Wafer Photodetector
Abrasive Particle
Si
O
H
H H H H H H H H H H H H H H H
O O O O O O O O O O O O O O O
Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si
O O O O O O O O O O O O O O O
Silicon Oxide Surface
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 161
Oxide CMP, Hydrogen Bond
Abrasive Particle
Si
O
H
H H H H H H H H H H H H H H H
O O O O O O O O O O O O O O O
Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si
O O O O O O O O O O O O O O O
Silicon Oxide Surface
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 162
Oxide CMP, Molecule Bond
Abrasive Particle O H
H
H H H H H H H H H Si H H H H H
O O O O O O O O O O O O O O O
Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si
O O O O O O O O O O O O O O O
Silicon Oxide Surface
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 163
Oxide CMP, Removal of Oxide
O H
Abrasive Particle Si
O H
Si
H H H H H H H H H H H H H H
O O O O O O O O O O O O O O
Si Si Si Si Si Si Si Si Si Si Si Si Si Si
O O O O O O O O O O O O O O O
Silicon Oxide Surface
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 164
Tungsten CMP
• Form plugs to connect metal lines between
different layers
• Tungsten etch back and Tungsten CMP
– Fluorine based tungsten RIE etchback
• In-situ with tungsten CVD process in a cluster tool
• Recessing of the Ti/TiN barrier/adhesion layer due
to the aggressive fluorine chemical etch of Ti/TiN
and affects the chip yield
– Tungsten CMP: winner for higher yield
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 165
Recess of Ti/TiN due to W Etchback
USG
Polishing Pad
Metal
Copper Tantalum
USG Barrier
Layer
Copper Tantalum
USG Barrier
Layer
Copper Tantalum
USG Barrier
Layer
Copper Tantalum
USG Barrier
Layer
Cu Ta Exposed
Substrate
Dielectric Film
Laser
Photodetector
Light
Intensity
Time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 183
Optical Endpoint: Metal
• The change of reflectivity can be used for
metal CMP process endpoint
• Usually metal surface has high reflectivity
• Reflectivity significantly reduces when
metal film is removed
• Trigger endpoint
Laser Laser
Photodetector Photodetector
Reflective
Intensity
time
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 185
Post CMP Clean
• Post-CMP cleaning need remove both particles
and other chemical contaminants
• Otherwise, defect generation and low yield
• Mechanical scrubbing cleaners with DI water
• Larger DI water volume, higher brush pressure
high cleaning efficiency
• Three basic steps: clean, rinse, and dry
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 186
Post CMP Clean
• Usually brush is made of porous polymers,
allows chemicals to penetrate through it and
deliver to wafer surface
• Double-sided scrubbers are used in the post-
CMP clean process
Acidic Solution:
Oxidation and
Dissolution
Alkaline Solution:
Surface Etch and
Electrostatic
Repulsion
Nitride
Nitride
USG
Poly Si Al·Cu·Si
Gate BPSG Nitride
n+ n+ SiO 2 p+ p+
p+ p+ LOCOS
N-well
P-type substrate
Gate
Oxide Isolation Implantation
Phosphorus Ions
Wafer clean Photoresist
n-well
Screen oxide grow (a) P-type Silicon
Well mask
n-well
Ion implantation (a) (b) P-type Silicon
Photoresist strip
Anneal and drive-in (b) (c)
n-well
Screen oxide strip (c) P-type Silicon
n+ p-well n+ p+ p+
N-type Silicon
Poly Si
Gate
n+ n+ SiO2 p+ p+
p+ p+ LOCOS
N-well
P-type substrate
Gate
Oxide Isolation Implantation
(a)
•Grow pad oxide Silicon
Photoresist Photoresist
N-Well P-Well
P-Epi N-Well
P-Epi
P-Wafer P-Wafer
Silicon nitride
Etch nitride
Silicon nitride
SiO2
Strip photoresist (c) p+ p+
P-type substrate
Pad oxide
P-type substrate
Pad oxide
Silicon nitride
P-type substrate
Pad oxide
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Silicon nitride
P-type substrate
Silicon nitride
p+ p+
P-type substrate
P-type substrate
SiO2
p+ p+
P-type substrate
Bird’s Beak
Activation Area
Pad oxidation, poly and nitride LPCVD Nitride, poly, and oxide etch, B implantation
Silicon nitride
SiO2 SiO2
p+ p+ p+ p+
P-type substrate
Pad oxide
P-type substrate
Pad oxide
Silicon nitride
P-type substrate
Pad oxide
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Silicon nitride
P-type substrate
Silicon nitride
P-type substrate
Silicon nitride
P-type substrate
Silicon nitride
Channel Stop
P-type substrate Implantation
CVD Oxide
Silicon nitride
Channel Stop
P-type substrate Implantation
PR
CVD Oxide
Silicon nitride
Channel Stop
P-type substrate Implantation
Silicon nitride
CVD Oxide
Channel Stop
P-type substrate Implantation
CVD Oxide
Channel Stop
P-type substrate Implantation
Photoresist
CVD Oxide
Channel Stop
P-type substrate Implantation
CVD Oxide
Channel Stop
P-type substrate Implantation
CVD Oxide
Channel Stop
P-type substrate Implantation
Nitride
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 67
Advanced STI: STI Mask
Nitride Nitride
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 69
Advanced STI: HDP CVD Oxide
USG
Nitride Nitride
USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 70
Advanced STI: CMP Oxide, Stop
on Nitride
Nitride Nitride
USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 71
Advanced STI: Nitride Strip
STI USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 72
Transistor Making
• Metal gate
• Self-aligned gate
• Lightly doped drain (LDD)
• Threshold adjustment
• Anti punch-through
• Metal and high-κ gate MOS
N-Silicon N-Silicon
Photoresist
N-Silicon N-Silicon
Photoresist PR
N-Silicon N-Silicon
PR PR
N-Silicon N-Silicon
p+ p+
N-Silicon N-Silicon
p+ p+
p+ p+
N-Silicon N-Silicon
p+ p+ p+ p+
N-Silicon N-Silicon
p+ p+ p+ p+
N-Silicon N-Silicon
Polysilicon
Gate
n+ n+
Gate oxide
p-Si
Poly Si
Gate oxide
n+ e− n+
p-Si Electron
Source Drain
injection
p-Si
p-Si LDD, n–
Nitride
Gate oxide Polysilicon Layer
Gate
n- n-
p-Si LDD, n–
Sidewall
Gate oxide Polysilicon Spacer
Gate
n- n-
p-Si LDD, n–
Sidewall
Gate oxide Polysilicon Spacer
Gate
n+ n+
p-Si LDD, n–
Sidewall
Gate oxide Polysilicon Spacer
Gate
n+ n+
p-Si LDD, n–
(a) (b)
After anneal, source/drain are just right After anneal, source/drain are too close
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 93
VT Adjustment Implantation
• Controls threshold voltage of MOSFET
– Ensure supply voltage can turn-on or turn-off
the MOSFET in IC chip
• Low energy, low current implantation
• Usually before the gate oxide growth
• Two implantations: a p-type and an n-type
• Activation mask
• Anneal
Phosphorus Ions
PR PR
N-well
Anti Punch-through
P-type Silicon
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 97
Halo Implantation
• Another implantation process commonly
used to suppress punch through effect
• Low energy and low current
• Large incident angle, 45°
STI
n+ n+
p p
Polysilicon
STI STI
P-well
STI STI
P-well
STI STI
P-well
STI STI
P-well
PSG PSG
STI STI
P-well
PSG PSG
STI STI
P-well
PSG PSG
STI STI
P-well
PSG PSG
STI STI
P-well
Ta2O5
PSG PSG
STI STI
P-well
Tungsten
PSG W PSG
STI STI
P-well
PSG W PSG
STI n+ n+ STI
P-well
• Deposited amorphous silicon
STI Silicon
Cobalt
RTP Silicide Alloying
Silicon
Strip Unreact Cobalt
Cobalt Silicide
Polysilicon
Silicon
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 119
Gate Oxide
Tungsten Local Interconnection
• Lower resistance, higher speed, less power
• Damascene: similar to W plug formation
– Etched trenches are in silicate glass layer
– Deposit Ti and TiN barrier/adhesion layers
– CVD W fill trenches
– CMP to remove bulk W from wafer surface
– W left in trenches to form local interconnection
PSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 123
PVD Ti/TiN and CVD TiN/W
PSG Tungsten
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 124
CMP W/TiN/Ti, Clean
PSG Tungsten
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 125
Early Global Interconnection
• Oxide CVD
• Photolithography, oxide etch, and PR strip
• Metal PVD
• Photolithography, metal etch, and PR strip
– Oxide etch forms contact or via holes
– metal etch forms interconnection lines
Interconnection (a) p+
n+ n+ SiO2
p+
p+
N-well
p+
P-type substrate
• CVD PSG (a)
PSG
• PSG reflow (b) SiO2
(b) p+ n+ n+
p+
p+ p+
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 131
Via Etch, Etch USG
IMD 1 USG
Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 132
Tungsten CVD and CMP
IMD 1 USG W
Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 133
Via Etch, Etch USG
Metal 2 Al-Cu Alloy
IMD 1 USG
M1 Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 134
Etch Metal 2
M2 Al•Cu
IMD 1 USG
M1 Al•Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 135
Copper Interconnection
• Lower resistivity and higher resistance
toelectromigration than aluminum alloy
• Faster and reliable interconnection
• Hard to dry etch delayed copper application
• CMP developed and matured in the 1990s
• Used in bulk W removal for plug formation
• Copper process is similar to W plug process
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 136
Copper Interconnection
• Trenches are etched on dielectric surface
• Copper is deposited into the trenches
• CMP removes bulk copper layer on surface
• Copper lines embedded in dielectric layer
• No need for metal etch
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 140
Via Mask, Etch Via, and Strip PR
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 141
Trench Mask, Etch Trench, Strip PR
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 142
PVD Ta and Cu, ECP Bulk Cu, Anneal
Copper
USG
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 143
CMP Cu and Ta, PECVD Nitride
M1 USG Cu
USG
PSG W
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 144
Copper Metallization
• Nitride seal layer prevent copper diffusion
and oxidation
• Etch stop nitride separate via and trench etch
• Tantalum used as copper barrier layer
• PVD copper seed layer
• ECP bulk copper
Photoresist
α-CF
PSG Tungsten
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 147
PECVD α-CF and USG
α-CF
α-CF
PSG Tungsten
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 148
Etch α-CF and Seal Nitride
α-CF
α-CF
PSG Tungsten
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 149
PVD Ta, Cu Seed, and ECP Cu
Tantalum
Copper
Cu Cu α-CF
α-CF
PSG Tungsten
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 150
CMP Copper and Tantalum
Tantalum
Cu Cu α-CF
α-CF
PSG Tungsten
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 151
Copper and Low-κ
• Oxygen plasma is used to etch α-CF
• PR can’t last long in oxygen plasma
• SiO2 hard mask is needed
• Oxygen can’t etch oxide and nitride
• Trench and via can be etched at the same time
– High selectivity of α-CF to oxide and nitride
• PR is removed when oxygen plasma etch α-CF
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 152
Passivation
• Protect IC chip from moisture and other
contaminants such as sodium
• Silicon nitride is the most commonly used
• Usually oxide layer is used as a stress buffer
• SiH4 based PECVD for both oxide and nitride
• Bonding pad mask or connecting bump mask
• Fluorine based nitride/oxide etch
• Strip PR to finish wafer processing
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 153
Metal Anneal
Metal 4, Al•Cu
USG
Oxide
Metal 4, Al•Cu
USG
Nitride
Oxide
Metal 4, Al•Cu
USG
Photoresist
Nitride
Oxide
Metal 4, Al•Cu
USG
Photoresist
Nitride
Oxide
Metal 4, Al•Cu
USG
Nitride
Oxide
Metal 4, Al•Cu
USG
P-type substrate
Pad oxide
P-type substrate
Pad oxide
Silicon nitride
P-type substrate
Pad oxide
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Photoresist
Silicon nitride
P-type substrate
Silicon nitride
P-type substrate
Silicon nitride
p+ p+
P-type substrate
P-type substrate
SiO2
p+ p+
P-type substrate
SiO2
p+ p+ p+
P-type substrate
Photoresist
SiO2
p+ p+ p+
P-type substrate
Photoresist
SiO2
p+ p+ p+
P-type substrate
Photoresist
SiO2
p+ p+ p+
P-type substrate
Photoresist
SiO2
p+ p+ p+
P-type substrate
Phosphorus Ions
Photoresist
SiO2
N-well
p+ p+ p+
P-type substrate
SiO2
N-well
p+ p+ p+
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
Polysilicon
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
Polysilicon
SiO2
p+ p+
N-well
P-type substrate
Polysilicon
SiO2
p+ p+
N-well
P-type substrate
Polysilicon Gate
PR
SiO2
p+ p+
N-well
P-type substrate
Polysilicon
SiO2
p+ p+
N-well
P-type substrate
Polysilicon
Photoresist
SiO2
p+ p+
N-well
P-type substrate
Photoresist
SiO2
p+ p+
N-well
P-type substrate
Photoresist
SiO2
p+ p+
N-well
P-type substrate
Photoresist
SiO2
p+ p+
N-well
P-type substrate
P+ implantation
Photoresist
SiO2
p+ p+
N-well
P-type substrate
Photoresist
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
B+ implantation
SiO2
p+ p+
N-well
P-type substrate
SiO2
p+ p+
N-well
P-type substrate
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
USG
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
Nitride
USG
Al·Cu·Si
BPSG
SiO2
n+ n+ p+ p+
p+ p+
N-well
P-type substrate
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 75
Mask 1: N-well
Phosphorus Ions
Photoresist
N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 77
Mask 2: P-well
Boron Ions
Photoresist
P-Well
N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 79
Strip PR, Strip Nitride/Pad Oxide
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 80
Pad Oxidation, LPCVD Nitride
Nitride
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 81
Mask 3: Shallow Trench Isolation
Nitride Nitride
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 83
HDP-CVD USG Trench Fill
USG
Nitride Nitride
USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 84
CMP USG, Stop on Nitride
Nitride Nitride
USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 85
Strip Nitride and Pad Oxide, Clean
STI USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 86
Mask 4: N-channel VT Adjust
Polysilicon
STI USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 91
Mask 6: Gate & Local Interconnection
Polysilicon gate
STI USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 93
Mask 7: N-channel LDD
Arsenic Ions
Photoresist
STI USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 95
Mask 8: P-channel LDD
BF2+ Ions
Photoresist
STI USG
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 97
Sidewall Spacer
Sidewall Sidewall
Polysilicon gate Spacer Polysilicon gate Spacer
Phosphorus Ions
Photoresist
n+ n+ USG p- p-
STI
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 100
Mask 9: P-channel Source/Drain
Boron Ions
Photoresist
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 102
Titanium Salicide Process
Ar + Ar +
Ti
Sidewall Sidewall
spacer Polysilicon gate spacer Polysilicon gate
n- n- n- n-
n+ Gate oxide Gate oxide
n+ n+ n+
Ti
TiSi2 TiSi 2 TiSi2
TiSi2
Polysilicon gate Polysilicon gate
n- n- n- n-
n+ Gate oxide n+ Gate oxide
n+ n+
STI Silicon
Titanium
RTP Silicide Alloying
Silicon
Strip Unreact Titanium
Titanium Silicide
Polysilicon
Silicon
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 104
Gate Oxide
BPSG Deposition and Reflow
BPSG
STI n+ n+ USG p+ p+
BPSG
STI n+ n+ USG p+ p+
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 105
Mask 10: Contact Hole
BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 107
Contact Hole Etch, BPSG Etch
Titanium/Titanium Nitride
Tungsten
BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 108
Contact Hole Etch, BPSG Etch
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 109
Mask 11: Metal 1 Interconnect
Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 111
PE-TEOS USG Dep/Etch/Dep/CMP
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 112
Mask 12: Via 1
IMD 1 USG
Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 114
Via Etch, Etch USG
Metal 2 Al-Cu Alloy
IMD 1 USG
M1 Al-Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 115
Mask 13: Metal 2 Interconnect
IMD 1 USG
M1 Al•Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 117
USG Dep/Etch/Dep/CMP
IMD 2 USG
M2 Al•Cu
IMD 1 USG
M1 Al•Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
P-Epi
P-Wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 118
Mask 14: Via 2
M2 Al•Cu
IMD 1 USG
M1 Al•Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 120
Metallization of Metal 3
Metal 3 Al•Cu Alloy
IMD 2 USG W
M2 Al•Cu
IMD 1 USG
M1 Al•Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 121
Mask 15: Metal 3 Interconnects
IMD 2 USG W
M2 Al•Cu
IMD 1 USG
M1 Al•Cu Alloy
W BPSG
STI n+ n+ USG p+ p+
P-Well N-Well
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 123
PE-TEOS USG Dep/Etch/Dep/CMP
IMD 3 USG
IMD 2 USG W
M2 Al•Cu
IMD 1 USG
IMD 2 USG W
M2 Al•Cu
IMD 1 USG
IMD 2 USG W
M2 Al•Cu
IMD 1 USG
USG
Al•Cu Alloy Al•Cu
Metal 4
IMD 3 USG
USG
Al•Cu Alloy Al•Cu
Metal 4
IMD 3 USG
Passivation 1
Al•Cu Alloy Al•Cu USG
Metal 4
IMD33
IMD USG
Ti/TiN
TiN ARC
Metal 3 Al•Cu Alloy
Ti
IMD 2 USG W
Ti/TiN
M2 Al•Cu
Copper 4
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Hong Xiao, Ph. D. n+
www2.austin.cc.tx.us/HongXiao/Book.htm
STI n+ USG p+ p+ 138
USG
P-well N-well
Buried SiO 2 P-wafer
Bare wafer
P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 139
High Current Oxygen Ion
Implantation
Oxygen ions, O+
P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 140
Oxide Anneal
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 143
Wafer Clean
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 144
Oxidation, Screen Oxide
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 145
Photoresist Coating and Baking
Photoresist
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 146
Mask 0, Alignment Mark
Photoresist
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 147
Exposure
Photoresist
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 148
PEB, Development, and Inspection
Photoresist
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 149
Etch Oxide, Etch silicon
Photoresist
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 150
Strip Photoresist
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 151
Strip Screen Oxide
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 152
Wafer Clean
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 153
Oxidation, Pad Oxide
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 154
LPCVD Silicon Nitride
Nitride
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 155
Photoresist Coating and Baking
Photoresist
Nitride
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 156
Mask 1: Shallow Trench Isolation
Photoresist
Nitride
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 158
PEB, Development, and Inspection
Photoresist
Nitride
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 159
Etch Nitride and Pad Oxide
Photoresist
Nitride
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 160
Strip Photoresist
Nitride
P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 161
Etch Silicon
Nitride
P-epi P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 162
Wafer Clean
Nitride
P-epi P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 163
Oxidation, Barrier Oxide
Nitride
P-epi P-epi
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 164
HDP CVD USG
Nitride
P-epi USG P-epi USG
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 165
CMP USG
Nitride
P-epi USG P-epi USG
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 166
Strip Nitride
Photoresist
Photoresist
Photoresist
Phosphorus ions, P+
Photoresist
Boron ions, B+
Photoresist
Photoresist
Photoresist
Photoresist
Boron ions, B+
Photoresist
Phosphorus ions, P+
Photoresist
Gate oxide
α-Si
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 188
Photoresist Coating and Baking
Photoresist
α-Si
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 189
Mask 4, Gate and Local
Interconnection
Photoresist
α-Si
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 191
PEB, Development, and Inspection
Photoresist
α-Si
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 192
Etch Amorphous Silicon
Photoresist
α-Si Gate oxide
α-Si
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 194
Wafer Clean
α-Si
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 195
Polysilicon Annealing and Oxidation
Poly Si
Photoresist
Poly
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 197
Mask 5, NMOS LDD Implantation
Photoresist
Poly
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 199
PEB, Development, and Inspection
Photoresist
Photoresist
Poly
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 203
Mask 6: PMOS LDD Implantation
Photoresist
Poly
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 205
PEB, Development, and Inspection
Photoresist
Poly
STI USG USG
P-well N-well
Buried SiO2 P-wafer
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 206
PMOS LDD Implantation
Photoresist
Photoresist
Photoresist
Arsenic ions, As +
Photoresist
Photoresist
Photoresist
Photoresist
Boron ions, B+
Photoresist
TiN
Co
TiN
Co
CoSi2
CoSi2
CoSi2
PSG
PSG
Photoresist
PSG
Photoresist
PSG
PR
PSG
PR
PSG
PSG
PSG
PSG
W Tungsten PSG
W Tungsten PSG
W Tungsten PSG
SOD
W Tungsten PSG
SOD
W Tungsten PSG
SOD
W Tungsten PSG
SOD
SOD
W Tungsten PSG
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
Photoresist
SOD
SOD
W Tungsten PSG
SOD
SOD
W Tungsten PSG
SOD
SOD
W Tungsten PSG
SOD
SOD
W Tungsten PSG
SOD
SOD
W Tungsten PSG
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Photoresist
SiC SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SiC SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Photoresist
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Photoresist
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Photoresist
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Photoresist
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
Copper 2 SOD
SOD
Cu 1 Cu 1 SOD Cu 1 Cu 1
SOD
W Tungsten PSG
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 306
PR Coating, Alignment and
Exposure, PEB, and Development
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 307
Via 4 Etch
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 308
Strip Photoresist
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 309
PR Coating, Alignment and
Exposure, PEB, and Development
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 310
Etch Trench
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 311
Strip Photoresist
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 312
Hydrogen Plasma Clean
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 313
Cu, Ta, and TaN Deposition and CMP
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 314
SiC, SOD, SiC, SOD, and PE-TEOS
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 315
PR Coating, A&E, PEB, and Develop
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 316
Etch Via5
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 317
Strip Photoresist
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 318
PR Coating, A&E, PEB, and Develop
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 319
Dielectric Etch
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 320
Strip Photoresist
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 321
Hydrogen Plasma Clean
SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 322
Cu, Ta, and TaN Deposition and CMP
Copper 5 SOD
SOD
Copper 4
SOD
SOD
Cu 3 SOD Cu 3
SOD
Hong Xiao, Ph. D. www2.austin.cc.tx.us/HongXiao/Book.htm 323
PECVD Passivation Layers:
Nitride, PSG, and Nitride
Nitride
PSG
Copper 5 SOD
SOD
Nitride
PSG
Copper 5 SOD
SOD
Nitride
PSG
Copper 5 SOD
SOD
Nitride
PSG
Copper 5 SOD
SOD
Polyamide
Nitride
PSG
Copper 5 SOD
SOD
Polyamide
Nitride
PSG
Copper 5 SOD
SOD
Nitride
PSG
Copper 5 SOD
SOD
Nitride
PSG
Copper 5 SOD
SOD
Nitride
PSG
Copper 5 SOD
SOD
Lead-tin alloy
Nitride
PSG
Copper 5 SOD
SOD
Lead-tin alloy
Nitride
PSG
Copper 5 SOD
SOD
Lead-tin alloy
Nitride
PSG
Copper 5 SOD
SOD
Lead-tin alloy
Nitride
PSG
Copper 5 SOD
SOD
Lead-tin alloy
Nitride
PSG
Copper 5 SOD
SOD
SOD
Copper 4
SOD
SOD
Copper 2 SOD SiC seal layer
SOD
PE-TEOS cap
Cu 1 Cu 1 SOD Cu 1 Cu 1
CoSi2 SOD
SiC seal layer
W Tungsten PSG
Poly Si gate SiN barrier
Hong Xiao, Ph. D. n+ n+ USG p+
www2.austin.cc.tx.us/HongXiao/Book.htm
STI p+ USG 338
P-well N-well layer
Buried SiO 2 P-wafer
Summary
• CMOS IC chips dominate semiconductor
industry
– Demands for digital electronics, such as
electronic watches, calculators, and personal
computers