Mini Project-ISA Submission_Project-8
Mini Project-ISA Submission_Project-8
Section : E
Batch Details
Circuit Diagram:
1. Up Counter
2. Down Counter
Implementation (Code):
//Implementation file
endmodule
endmodule
reg data_wire;
begin
if(reset_wire)
data_wire=4'b0000;
else
if(toggle_wire) data_wire=~data_wire;
else data_wire=data_wire;
end
endmodule
wire [5:0]a;
wire [2:0]or_out;
endmodule
wire [5:0]a;
wire [2:0]or_out;
endmodule
//Testbench
module counter_tb();
reg clock,reset;
wire [3:0]q_up,q_down;
up_counter uc(clock,reset,q_up);
down_counter dc(clock,reset,q_down);
initial begin
$dumpfile("counter4_tb.vcd");
$dumpvars(0,counter_tb);
end
initial
begin
end
//This is where the reset wire becomes 1 and 0 accordingly
initial
begin
reset=1'b1;
#30
reset=1'b0;
#160
reset=1'b1;
#20
reset=1'b0;
end
endmodule
Output: