Ug 847266 855883
Ug 847266 855883
Contents
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
2
Contents
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
3
Contents
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
4
847266 | 2025.08.04
Send Feedback
High-speed I/O (HSIO) • 1.0 V, 1.05 V, 1.1 V, 1.2 V, and 1.3 V single-ended non-voltage referenced
I/O standards.
• 1.05 V, 1.1 V and 1.2 V single-ended and differential voltage referenced I/O
standards.
• 1.3 V true differential I/O compatible with LVDS, capable to interface with
LVDS subsets such as:
— RSDS
— Mini-LVDS
— Any I/O standards using equivalent electrical specifications
• LPDDR4 external memory interfaces up to 1,067 MHz with a Hard Memory
Controller (HMC).
• LVDS serializer/deserializer (SERDES) interface up to 1.25 Gbps.
• MIPI* D-PHY* interface up to 2.5 Gbps(1) per lane
High-voltage I/O (HVIO) 1.8 V, 2.5 V, and 3.3 V single-ended non-voltage referenced JEDEC-compliant
I/O standards.
Secure Device Manager (SDM) I/O 1.8 V single-ended non-voltage referenced I/O standard.
Hard Processor System (HPS) I/O 1.8 V single-ended non-voltage referenced I/O standard.
Related Information
• Agilex 3 FPGAs and SoCs Device Data Sheet
• LVDS SERDES User Guide: Agilex 3 FPGAs and SoCs
• External Memory Interfaces (EMIF) IP User Guide: Agilex 3 FPGAs and SoCs
• General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
Get the latest and previous versions of this user guide. If an IP or software
version is not listed, the user guide for the previous IP or software version
applies.
• MIPI D-PHY specifications, MIPI Alliance website.
Provides more information about the standard and long reference channels.
(1) Up to 2.5 Gbps for standard reference, short reference, and long reference channels. For more
information, refer to the MIPI D-PHY specifications and the Agilex 3 data sheet.
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
1. Agilex™ 3 General-Purpose I/O Overview
847266 | 2025.08.04
Package
Key: HVIO / HSIO (LVDS) / HPSIO / Transceivers
Ball Pitch: 0.5 mm Ball Pitch: Variable (1)(2)
Grid Array Pattern: Standard Grid Array Pattern: Variable Pitch BGA
Series Device MBGA: Micro Fineline BGA VPBGA: Variable Pitch BGA
M12A M16A B18A B18B B23C
484-pin MBGA 896-pin MBGA 474-pin VPBGA 538-pin VPBGA 931-pin VPBGA
12 mm × 12 mm 16 mm × 16 mm 18 mm × 18 mm 23 mm × 23 mm 23 mm × 23 mm
A3C 025 160 / 72 (36) / 0 / 0 160 / 48 (24) / 0 / 0 160 / 96 (48) / 0 / 0
A3C 050 160 / 72 (36) / 0 / 0 160 / 48 (24) / 0 / 0 160 / 96 (48) / 0 / 0
C-Series A3C 065 160 / 72 (36) / 0 / 0 160 / 48 (24) / 0 / 0 160 / 96 (48) / 0 / 0
A3C 100 40 / 192 (96) / 48 / 4 160 / 48 (24) / 0 / 0 200 / 144 (72) / 48 / 4
A3C 135 40 / 192 (96) / 48 / 4 160 / 48 (24) / 0 / 0 200 / 144 (72) / 48 / 4
Notes:
(1) The Variable Pitch BGA (VPBGA) packaging is compatible with Type III PCBs that use the design rules equivalent to 0.8 mm ball pitch and standard plated through hole (PTH) vias.
(2) The VPBGA ball pitch is variable and it helps to ease signal routing. For more information, contact your local sales representative.
Related Information
Device Migration Guidelines: Agilex 3 FPGAs and SoCs E-Series
Provides more information about the device migration path.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
6
1. Agilex™ 3 General-Purpose I/O Overview
847266 | 2025.08.04
Refer to the related information for the features and architectural descriptions of each
I/O bank type.
Related Information
• Agilex 3 HSIO Banks on page 8
• Agilex 3 HVIO Banks on page 49
• Agilex 3 HPS I/O Banks on page 61
• Agilex 3 SDM I/O Banks on page 70
• Device Migration Guidelines: Agilex 3 FPGAs and SoCs
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
7
847266 | 2025.08.04
Send Feedback
The HSIO banks provide the I/O buffer and peripheral support for the following
functions:
• General-purpose interfaces (GPIO mode)—with or without GPIO FPGA IP
• External memory interfaces (EMIF mode)—with External Memory Interfaces
(EMIF) IP
• Parallel interfaces (PHYLITE mode)—with PHY Lite for Parallel Interfaces IP
• LVDS SERDES interfaces—with LVDS SERDES FPGA IP
• MIPI D-PHY interfaces—with MIPI DPHY IP
Related Information
• LVDS SERDES User Guide: Agilex 3 FPGAs and SoCs
• Types of I/O Banks on page 7
• Device Migration Guidelines: Agilex 3 FPGAs and SoCs
• External Memory Interfaces (EMIF) IP User Guide: Agilex 3 FPGAs and SoCs
Each sub-bank contains four I/O lanes. Each I/O lane has 12 I/O pins. Consequently,
there are a total of 48 single-ended I/O pins or 24 true differential I/O pairs in each
sub-bank.
If you use SERDES, you can configure each I/O lane to support a SERDES transmitter
or receiver channel, with optional dynamic phase alignment (DPA), for:
• Up to six dedicated differential receiver input buffer pairs
• Up to six dedicated differential transmitter output buffer pairs
If you do not use SERDES, you can configure each true differential buffer as receiver
or transmitter.
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
The total number of HSIO banks varies across different device packages. Some HSIO
banks are shared with the SDM and HPS function blocks. Refer to the device pin-out
files for available I/O banks for each device package.
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
HSIO Bank HPS I/O Bank Top Index Sub-Bank Bottom Index Sub-Bank
HPS Shared HSIO Bank SDM I/O Bank Index: #48-#95 Index: #0-47
HPS 3A
I/O Lane I/O Lane I/O Lane I/O Lane I/O Lane I/O Lane I/O Lane I/O Lane
Top I/O Bank Row
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
9
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
The input and output paths also support the following features:
• Clock enable
• Asynchronous or synchronous reset
• Bypass mode for input and output paths
• Delay chain on input and output paths
OE from Core
OE
D Q Delay Chain
Td VCCIO_PIO
Write Data from Core [0]
Programmable
D Q Pull-Up Resistor
Output
Write Data from Core [1] OCT
Delay Chain
D Q 2 x Td
Full Rate Outclock From OCT
Calibration
To Core
Block
Input Register
Input
Read Data to Core [0] Alignment Q D Delay Chain
Registers
Related Information
GPIO FPGA IP on page 78
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
10
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
The VCCIO_PIO and VCCPT pins power the I/O buffers located in the I/O bank within
the HSIO interface.
The True Differential Signaling I/O standard is compatible with the LVDS, RSDS, Mini-
LVDS, and LVPECL standards at a lower signal swing.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
11
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
You can place the True Differential Signaling input buffer in a HSIO bank powered by
1.05 V, 1.1 V, 1.2 V and 1.3 V VCCIO_PIO. The maximum input voltage to the True
Differential Signaling input buffer must not exceed the value of
Maximum V ID
Maximum V ICM + :
2
• For 1.05 V, 1.1 V, and 1.2 V VCCIO_PIO, the maximum input voltage is 1.177 V
• For 1.3 V VCCIO_PIO bank, the maximum input voltage depends on the termination:
— On-chip differential termination (RD OCT) enabled—maximum input voltage is
1.602 V
— On-board differential termination with RD OCT disabled—maximum input
voltage is 1.427 V with VICM capped at 1.2 V
By default, the Quartus Prime software assigns 1.2 V to the VCCIO_PIO pin in unused
I/O sub-banks.
Input Output
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
12
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Input Output
Related Information
• I/O Standards Specifications, Agilex 3 FPGAs and SoCs Device Data Sheet
Provides the electrical specifications for the supported I/O standards.
• Assigning Pin I/O Standards in the Quartus Prime Assignment Editor on page 17
• Assigning Pin I/O Standards in the Quartus Prime Pin Planner on page 19
Not turned on Powering up Fully powered up Configuration User mode Powering down
mode
Either tri-state the • Pin voltage All pins are tri- All pins are tri- Valid data • Pin voltage
pins or do not must not stated with weak stated with weak transactions can must not
drive them with exceed pull-up enabled. pull-up enabled. be initiated. exceed
any external VCCIO_PIO or VCCIO_PIO or
voltage. 1.2 V, 1.2 V,
whichever is whichever is
lower.(5) lower.(5)
• After full • When the
VCCIO_PIO VCCIO_PIO and
power up, the VCC power rails
pins are tri- are powering
stated with down, the I/O
weak pull-up pin signals
enabled. measure
between
ground and
the VCCIO_PIO
voltage levels.
Note: After the Agilex 3 device fully powers up, the voltage levels for the HSIO pins must
not exceed the DC input voltage (VI) value or the AC maximum allowed overshoot
during transitions.
Related Information
Agilex 3 FPGAs and SoCs Device Data Sheet
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
13
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Related Information
• Agilex 3 FPGAs and SoCs Device Data Sheet
• Programmable I/O Features Description on page 99
(6) Delay chain is not supported in the LVDS SERDES receiver mode.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
14
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
• Fast GPIO mode Supports only the uncompensated slew rate mode.
• Medium
• External memory interface Supports only the compensated slew rate mode. The
• Slow
• PHY Lite compensated slew rate mode achieves better slew rate control by
reducing variation of the ramp rate.
• MIPI D-PHY mode
Fastest • GPIO mode Supports only the slew rate bypass mode. The implementation
• External memory interface disables slew rate control and the buffer switches at the fastest
ramp rate.
• PHY Lite
• MIPI D-PHY mode
Related Information
Programmable I/O Features Description on page 99
The programmable pull-up resistor feature is enabled by default on unused I/O pins in
the HSIO bank.
Related Information
Programmable I/O Features Description on page 99
Related Information
Programmable I/O Features Description on page 99
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
15
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
16
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
2.3.1.1. Assigning Pin I/O Standards in the Quartus Prime Assignment Editor
1. From the Quartus Prime menu, select Assignments ➤ Assignment Editor
2. Under the To column, search for the pin that you want to configure.
3. Under the Assignment Name column, select I/O Standard (Accepts
wildcards).
4. Under the Value column, select the I/O standard that you want to assign to the
pin.
5. From the Quartus Prime menu, select File ➤ Save.
Related Information
Supported I/O Standards for HSIO Banks on page 11
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
17
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Related Information
HSIO Programmable IOE Features Assignment Names and Settings on page 18
Provides a list of supported programmable IOE features for the HSIO, the
assignment names, and supported values.
I/O delay • Input Delay Chain Setting Refer to the device data sheet.
• Output Delay Chain Setting
• Output Enable Delay Chain Setting
Related Information
• Programmable IOE Delay, Agilex 3 FPGAs and SoCs Device Data Sheet
Provides the input and output delay chains specifications.
• Assigning Programmable IOE Features in the Quartus Prime Assignment Editor on
page 17
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
18
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
2.3.2. Assigning Pin I/O Standards in the Quartus Prime Pin Planner
You can use the Quartus Prime Pin Planner for I/O pin planning, assignment, and
validation.
2 3 4
Related Information
Supported I/O Standards for HSIO Banks on page 11
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
19
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
2 × R T2
RS
Z 0 = 50 Ω
V REF
2 × R T2
GND
The OCT calibration circuit uses the impedance of the external resistor that is
connected to the RZQ pin as reference. During calibration, the circuit continuously
alters the impedance of the I/O buffer until the impedance reaches a predetermined
ratio to the reference resistance.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
20
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
2.4.1.1.1. RS OCT
Agilex 3 devices support RS OCT with and without calibration.
RS with calibration • The RS OCT calibration circuit uses the impedance of the external resistor
connected to the RZQ pin as a reference.
• During calibration, the circuit continuously alters the impedance of the I/O
buffer until the value reaches the target impedance, which is a predetermined
ratio to the reference resistance.
• The calibration occurs at the end of the device configuration. When the
calibration circuit finds the correct impedance, the circuit stops changing the
characteristics of the drivers.
• In EMIF and MIPI D-PHY modes, you may trigger recalibration during user
mode.
RS
Z 0 = 50 Ω
RS
GND
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
21
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
RS
Z 0 = 50 Ω
RS
GND
Table 12. Selectable I/O Standards for RS OCT for HSIO Banks
The default values are in bold font.
I/O Standard RS OCT without Calibration(7) (Ω) RS OCT with Calibration (Ω)
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
22
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
I/O Standard RS OCT without Calibration(7) (Ω) RS OCT with Calibration (Ω)
SLVS-400 — 45
DPHY — 45
Related Information
OCT Features Assignment Names and Settings on page 31
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
23
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
2.4.1.1.2. RT OCT
The Agilex 3 devices support RT OCT with and without calibration. RT OCT is available
only for input and bidirectional pins. Output pins do not support RT OCT.
You must disable RT OCT for interfaces that require external termination circuitry near
the receiver of the Agilex 3 device.
RT OCT with calibration • The RT OCT calibration circuit uses the impedance of the external resistor connected to
the RZQ pin as a reference.
• During calibration, the circuit continuously alters the impedance of the I/O buffer until
the value reaches the target impedance, which is a predetermined ratio to the
reference resistance.
• The calibration occurs at the end of the device configuration. When the calibration
circuit finds the correct impedance, the circuit stops changing the characteristics of the
drivers.
• In EMIF and MIPI D-PHY modes, you may trigger recalibration during user mode.
2 × R T2
Z 0 = 50 Ω
V REF
2 × R T2
GND
I/O Standard RT OCT without Calibration(8) (Ω) RT OCT with Calibration (Ω)
1.3 V LVCMOS — —
1.2 V LVCMOS — —
1.1 V LVCMOS — —
1.05 V LVCMOS — —
1.0 V LVCMOS — —
continued...
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
24
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
I/O Standard RT OCT without Calibration(8) (Ω) RT OCT with Calibration (Ω)
SSTL-12 50 50, 60
HSTL-12 50 50, 60
HSUL-12 — —
Differential HSUL-12 — —
Related Information
OCT Features Assignment Names and Settings on page 31
Driver Off
Driver On
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
25
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
VCCIO VCCIO
Transmitter Receiver
100 Ω 2 x RT
Rs
Z0 = 50 Ω
100 Ω 2 x RT
Rs
GND GND
VCCIO VCCIO
Receiver Transmitter
2 x RT 100 Ω
Rs
Z0 = 50 Ω
2 x RT 100 Ω
Rs
GND GND
The OCT calibration block can calibrate the I/O buffers located in the same HSIO
banks only. For example, you can use the OCT calibration block in bank 2A to calibrate
the I/O buffers in bank 2A only.
The OCT calibration process uses the RZQ pin that is available in every HSIO sub-bank
for series-calibrated and parallel-calibrated terminations.
• You can use the RZQ pin in one sub-bank to calibrate I/Os in the other sub-bank
within the same HSIO bank. For example, you can use the RZQ pin in the bottom
index sub-bank of bank 2B to calibrate the I/Os in the top index sub-bank of bank
2B. Both sub-bank must use the same VCCIO_PIO voltage value.
• The RZQ pin is a dual-purpose I/O pin and functions as a general-purpose I/O pin
if you do not use the calibration circuit.
• The RZQ pin shares the same VCCIO_PIO supply voltage with the I/O sub-bank
where the pin is located.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
26
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
The OCT calibration block has an external 240 Ω reference resistor associated with it
through the RZQ pin available in every sub-bank.
• Connect the RZQ pin to GND through an external 240 Ω resistor.
• For differential I/O standards, you must use the same RZQ resistor to calibrate
both the positive and negative legs of the differential I/O pin.
• You can use each RZQ resistor to calibrate two RS OCT settings and one RT OCT
setting for all I/O standards.
Altera recommends that you use OCT with these I/O standards to save board space
and cost. OCT reduces the number of external termination resistors required.
Note: You cannot use RS and RT OCT simultaneously. For more information, refer to the
related information.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
27
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
VCCIO_PIO/2
RT
External
Termination in
Receiver Pins
VCCIO_PIO
VCCIO_PIO VCCIO_PIO
Series V REF
OCT 2 RT 2 RT
OCT in
Bidirectional Pins 2 RT 2RT
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
28
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
VCCIO
RT
External
Termination in
Receiver Pins
On-Board
FPGA Receiver
VCCIO
OCT Termination RT
in Receiver Pins
On-Board FPGA
Transmitter
VCCIO
VCCIO
Series
OCT RS Parallel
OCT RT
50 Ω V REF
OCT in
Bidirectional Pins
Series
V REF OCT RS
On-Board
FPGA FPGA
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
29
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
External
Termination in
Receiver Pins RT
OCT Termination
in Receiver Pins
RT
Series
OCT RS RT
50 Ω V REF
OCT in GND
Parallel
Bidirectional Pins OCT RT
GND Series
V REF OCT RS
On-Board
FPGA FPGA
Related Information
Dynamic OCT on page 25
Related Information
Configuring OCT Using the Assignment Editor on page 30
Related Information
Single-Ended I/O Termination Implementation Guide on page 30
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
30
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Related Information
• RS OCT on page 21
Lists the supported RS OCT values for different I/O standards.
• RT OCT on page 24
Lists the supported RT OCT values for different I/O standards.
The True Differential Signaling buffer is compatible with the LVDS, RSDS, Mini-LVDS,
and LVPECL standards. The True Differential Signaling, DPHY, and SLVS-400 buffers
support 100 Ω differential on-chip termination (RD OCT). If you use an SLVS-400 or
DPHY receiver:
• The RD OCT is calibrated and always enabled.
• You must connect a 240 Ω RZQ resistor
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
31
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
External
50 Ω
On-Board
100 Ω
Termination
50 Ω
50 Ω
OCT Receiver 100 Ω
50 Ω
Receiver
50 Ω
OCT Receiver
100 Ω
50 Ω
Receiver
Use OCT with these I/O standards to save board space and cost. OCT reduces the
number of external termination resistors usage.
Related Information
• Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the
banks shared with the HPS and SDM, the DQ groups, the pin functions, and the
pin locations.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
32
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
• AN 555: True Differential Signaling Termination and Biasing for Agilex 7 M-Series
and Agilex 5 FPGAs
Provides useful information that you can also apply to Agilex 3 devices.
RD
Z 0 = 50 Ω
Table 18. Differential Input RD OCT in Quartus Prime Assignment Editor Settings
To Assignment Name Value
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
33
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
0.1 µF
Z 0 = 50 Ω V ICM 50 Ω
0.1 µF RD
Z 0 = 50 Ω 50 Ω
Disable
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
34
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Note: Altera recommends that you use SPICE or IBIS models to verify your AC- or
DC-coupled termination.
0.1 µF
Z 0 = 50 Ω V ICM 50 Ω
0.1 µF
Z 0 = 50 Ω 50 Ω
10 KΩ 10 KΩ
0.1 µF
100 Ω
0.1 µF 10 KΩ On-Board Differential
Termination
10 KΩ
Related Information
• HSIO Differential I/O Standards Specifications, Agilex 3 FPGAs and SoCs Device
Data Sheet
Provides the VICM specifications for the True Differential Signaling I/O standard.
• AN 555: True Differential Signaling Termination and Biasing for Agilex 7 M-Series
and Agilex 5 FPGAs
Provides useful information that you can also apply to Agilex 3 devices.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
35
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Related Information
Pin Connection Guidelines: Agilex 3 FPGAs and SoCs
Provides descriptions of the pins available in the device and relevant connection
guidelines.
• Do not place true differential and toggling single-ended I/O standards in the
combinations of locations listed in the following tables.
• The Quartus Prime software issues this error message:
From Quartus Prime software version 25.1.1 onwards, you can place true differential
and single-ended I/O standards in the combinations of locations listed in the following
table for the two scenarios listed below:
• The receiver equalization calibration is enabled for the affected true differential
input buffers.
• The single-ended I/O is a non-toggling pin. You must use the following .qsf in
your Quartus design to exclude the non-toggling pins in the Quartus Prime
placement rules check:
set_instance_assignment -name TOGGLE_SPEED TOGGLE_SPEED_SLOW -to <pin name>
For example:
set_instance_assignment -name TOGGLE_SPEED TOGGLE_SPEED_SLOW -to din
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
36
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Table 19. Restricted Pin Placement Combinations for True Differential and Single-Ended
I/O Standards in the Same HSIO Bank
This table lists the combinations of pins and I/O standards not allowed in the same HSIO bank. Examples:
• If you place a true differential I/O standard in pin pair 10 and 11, do not place single-ended I/O standards
in pins 8 or 19.
• If you place a single-ended I/O standard in pin 57 or 67, do not place a true differential I/O standard in
pin pair 58 and 59.
Combinations Not Allowed Combinations Not Allowed Combinations Not Allowed Combinations Not Allowed
(Pin Index Number) (Pin Index Number) (Pin Index Number) (Pin Index Number)
Agilex 3 devices support internal VREF sources. Each I/O lane in the bank also has its
own internal VREF generator. You can configure VREF generator in the External Memory
Interfaces (EMIF) IP and PHY Lite for Parallel Interfaces IP.
In each I/O lane, adhere to the input standards grouping to ensure all input pins in
the I/O lane use the same internal VREF source. If the mix of input standards in an I/O
lane does not adhere to these groupings, Quartus Prime displays error messages
during design compilation.
Note: Although the following table lists the groups based on VREF, the final rules depend on
implementation. For example, the PHY Lite interface uses one I/O standard per I/O
lane. If you use HSTL-12 and SSTL-12 with the PHY Lite for Parallel Interfaces IP,
assign each I/O standard in a different I/O lane.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
37
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Group 1 • POD12
• 1.2 V True Differential Signaling
• 1.2 V LVCMOS
• Differential POD12
Group 2 • POD11
• 1.1 V True Differential Signaling
• 1.1 V LVCMOS
• Differential POD11
Group 3 • SSTL-12
• HSTL-12
• HSUL-12
• 1.2 V True Differential Signaling
• 1.2 V LVCMOS
• Differential SSTL-12
• Differential HSTL-12
• Differential HSUL-12
Group 4 • LVSTL11
• 1.1 V LVCMOS
• Differential LVSTL11
Group 5 • LVSTL105
• 1.05 V LVCMOS
• Differential LVSTL105
Related Information
I/O Standard Selection and I/O Bank Supply Compatibility Check on page 39
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
38
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
If you use a 1.2 V, 1.1 V, or 1.05 V VCCIO_PIO, you can implement single-ended non-
voltage referenced and voltage-referenced I/O standards. The 1.2 V, 1.1 V, or 1.05 V
buffer also supports differential voltage-referenced I/O and true differential input
standards.
You can implement a mix of both voltage-referenced and non-voltage referenced I/O,
and true differential input standards within the I/O bank if all the I/O standards
support the VCCIO_PIO of the I/O bank.
1.3 V VCCIO_PIO
If you use a 1.3 V VCCIO_PIO voltage, you can implement both 1.3 V LVCMOS and True
Differential Signaling I/O standards in the same I/O lane and sub-bank. The buffer can
interface with upstream or downstream devices that are compatible with the Agilex 3
FPGAs electrical specifications.
If you use True Differential Signaling input, analyze the electrical specification
requirement to implement your true differential receiver.
Implement DC coupling if the signal swing and VICM voltage requirement are within the
Agilex 3 True Differential Signaling standard specification. Otherwise, implement AC
coupling and external bias circuitry.
Related Information
I/O Standards Specifications, Agilex 3 FPGAs and SoCs Device Data Sheet
Provides the electrical specifications for the supported I/O standards.
2.5.7. I/O Standard Selection and I/O Bank Supply Compatibility Check
• Select a suitable signaling type and I/O standard for each I/O pin. The I/O banks
are located in rows along the top periphery and bottom periphery of the device.
Each I/O bank has two sub-banks. Each sub-bank has its own PLL, DPA and
SERDES circuitries, and individual VCCIO_PIO voltage rail.
• Ensure that the selected I/O standard is supported in the targeted I/O sub-bank.
• Place I/O pins that share the same VCCIO_PIO voltage levels in the same I/O sub-
bank.
• Verify that all output signals in each I/O sub-bank are intended to drive out at the
sub-bank's I/O voltage level.
• Verify that all voltage-referenced signals in each I/O lane are intended to use the
same VREF source by adhering to the voltage-referenced input standards grouping
per I/O lane.
Related Information
VREF Sources and Input Standards Grouping on page 37
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
39
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
You must use the True Differential Signaling input standard for the I/O PLL reference
clock.
When you use Avalon streaming interface ×16 configuration scheme, Avalon
streaming interface pins in the SDM shared IO bank are not usable as user I/Os for:
• Designs that use external partial reconfiguration, for example, designs that send
partial reconfiguration bitstream using Avalon streaming interface pins.
• Designs that use the HPS.
Related Information
Agilex 3 Configuration Pins, Device Configuration User Guide: Agilex 3 FPGAs and
SoCs
Provides more details about the I/O setting and restrictions on the pins during
device configuration.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
40
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
When the entire HSIO bank is unused, you may connect the VCCIO_PIO pin of the
unused HSIO bank to 0 V, 1.0 V, 1.05 V, 1.1 V, 1.2 V, or 1.3 V.
If only one of the sub-banks within the same HSIO bank is unused, you must connect
the VCCIO_PIO pin of the unused sub-bank to the same VCCIO_PIO voltage level as
the other actively utilized sub-bank. You must use following .qsf to assign the
VCCIO_PIO of the unused sub-bank to the intended voltage supply value.
set_global_assignment -name IOBANK_VCCIO <voltage supply> -section_id
<sub_bank_name>
Example:
set_global_assignment -name IOBANK_VCCIO 1.1V -section_id 3B_B
Adhere to the these guidelines to prevent unnecessary current draw on the I/O pins
located in the HSIO banks. These guidelines apply for unpowered, power up to power-
on reset (POR), POR delay, POR delay to configuration, configuration, initialization,
user mode, and power down device states.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
41
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
• The I/O pins in the HSIO banks can be tri-stated, driven to ground, or driven to
the VCCIO_PIO level.
• While the device is powering up or down:
— The input signals of an I/O pin, at all times, must not exceed the I/O buffer
power supply rail of the bank where the I/O pin resides.
— If you use a pin in a HSIO bank with 1.3 V VCCIO_PIO, the pin voltage must not
exceed the VCCIO_PIO rail or 1.2 V, whichever is lower.
• While the device is powering up or powering down, the HSIO pins can tolerate a
maximum of 10 mA per pin and a total of 100 mA per HSIO bank.
• While the device is not turned on, tri-state the I/O pin and do not drive the pin
with any external voltage.
• After the device fully powers up, the voltage levels for the HSIO pins must not
exceed the DC input voltage (VI) value or the AC maximum allowed overshoot
during transitions.
The VCCIO_PIO pin ramps up and at period X, the At period X, keep the signals driven by the device connected to
VCCIO_PIO voltage is 1.1 V. the HSIO I/O pin at a voltage of 1.1 V or lower.
The 1.3 V VCCIO_PIO pin ramps up and the voltage Keep the HSIO pin voltage at 1.2 V or lower until the device fully
continues to rise pass the 1.2 V level. powers up.
If an output buffer drives two input pins, the output buffer must provide 2 mA to the
input pins. Increase the drive strength current according to the number of input pins
the output buffer drives.
If you connect an output buffer to multiple input pins and one of the input pins has
programmable pull-up feature enabled, you must turn on the same programmable
feature on all of the other input pins.
±7.5 mA 100%
±10 mA 75%
±15 mA 50%
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
42
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Related Information
• Absolute Maximum Ratings, Agilex 3 FPGAs and SoCs Device Data Sheet
Lists the absolute maximum ratings for DC output current.
• DC Characteristics, Agilex 3 FPGAs and SoCs Device Data Sheet
Provides more information related to DC current specifications.
If you use the 1.2 V LVCMOS I/O standard, the output signal swings from 0 V to 1.2 V
on a lossless transmission line with no external pull-up or pull-down component.
Ensure that the VIH or VIL tolerance of the downstream connecting device can meet
those conditions.
If you use the 1.2 V voltage-referenced I/O standards, the output signal swing has a
dependency on the external board termination or the internal termination of the
receiver.
Figure 21. Termination Setup Using 40 Ω RS OCT Driver with On-Board 50 Ω Pull-Up
Resistor to VCCIO_PIO/2
This figure shows an example termination setup and its equivalent circuit.
VCCIO/2
Rs OCT
40 Ω 50 Ω
Rs OCT Termination
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
43
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Figure 22. Equivalent Circuit of the Example with Output Buffer Driving HIGH
When the output buffer is driving HIGH, the pin voltage is 0.93 V based on voltage divider rule:
1.2 V−Pin Voltage Pin Voltage−0.6 V
( = ).
40 50
1.2 V 0.6 V
40 Ω 50 Ω
Figure 23. Equivalent Circuit of the Example with Output Buffer Driving LOW
When the output buffer is driving LOW, the pin voltage is 0.27 V based on the voltage divider rule:
0.6 V−Pin Voltage Pin Voltage
( = ).
50 40
0.6 V
50 Ω
40 Ω
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
44
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
Same mode as before FPGA The external device can continue to drive the True Differential Signaling input
reconfiguration buffer during reconfiguration of the FPGA.
Different mode after FPGA • Before you start reconfiguration of the FPGA, ensure that the external device
reconfiguration tri-states the connection.
• The external device can initiate a new connection to the True Differential
Signaling input buffer after successful reconfiguration of the FPGA.
1. Use the GPIO IP to initiate an output or bidirectional buffer with the OE turned on.
2. Connect the input port of the output buffer to the ground.
3. Connect the actual data signal to the OE port.
Note: Drive the buffer LOW before you switch the OE signal. When you switch the OE signal
to HIGH, the output pin drives LOW. When you switch the OE signal to LOW, the output
pin is tri-stated. You need an external pull-up circuitry to pull the connection to HIGH.
This guideline applies to the following I/O standard when operating in GPIO or PHY
Lite modes:
• SSTL-12 and Differential SSTL-12
• HSTL-12 and Differential HSTL-12
• HSUL-12 and Differential HSUL-12
32 100
38 90
48 80
58 70
96 60
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
45
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
For example, if you assign a single-ended external memory interface strobe signal to
pin function DIFF2B_T_1P, ensure that the other leg of the pair, pin function
DIFF2B_T_1N, is left unused.
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
Example:
set_global_assignment -name IOBANK_VCCIO 1.1V -
section_id 3B_B
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
46
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
HSPICE HSIO • Simulates actual transistor level design to obtain precise electrical simulation.
• The syntax describes I/O buffers, board components and connections, and specific
simulation parameters.
• The model contains encrypted transistor and logic cell library models, output buffer
circuit models for single-ended and differential I/Os, and sample SPICE decks for
single-ended and differential I/Os.
• The model requires a longer simulation time compared to the IBIS model.
IBIS HSIO • This is a behavioral model of the I/O buffers based on the I/V curve data derived
from the HSPICE simulation.
• The pre-emphasis feature is an example that can use the IBIS simulation model.
• This model has a shorter simulation time compared to HSPICE.
• The simulation model has less complexity compared to HSPICE models and
supported by many simulation tools.
IBIS AMI Transceiver I/O • Algorithmic Modeling Interface (IBIS AMI) is a part of IBIS 5.0 specification for
high-speed transmitter and receiver models that are supplied as executables in
tools that support IBIS simulation.
• This is an industry standard model methodology for high-speed link simulation
applied to multi gigabit serial link channels.
• This simulation model allows simulation of millions of bits in minutes, crosstalk and
jitter analysis, detect data pattern dependencies, and able to model complex blocks
such as equalization and CDR.
You can use the Agilex 3 IBIS model to simulate all valid I/O features configurations
across all supported I/O standards:
• Slew rate
• Weak pull-up
• De-emphasis
• Pre-emphasis
• Differential output voltage
Related Information
IBIS Models for FPGA Devices
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
47
2. Agilex 3 HSIO Banks
847266 | 2025.08.04
The HSPICE models provide options to simulate buffer behavior for the following I/O
features:
• RS OCT with and without calibration
• RT OCT with calibration
Related Information
Accessing HSPICE Simulation Kits, Quartus Prime Pro Edition User Guide: PCB Design
Tools
Related Information
Agilex 3 Device Package Net Length Report
Downloads the net length reports for Agilex 3 devices.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
48
847266 | 2025.08.04
Send Feedback
The HVIO banks provide single-ended I/O buffers that support 1.8 V, 2.5 V, or 3.3 V
I/O voltages. You must assign all I/Os within one HVIO bank with the same I/O
standard.
Related Information
• Types of I/O Banks on page 7
• Device Migration Guidelines: Agilex 3 FPGAs and SoCs
The total number of HVIO banks varies across different device packages. Refer to the
device pin-out files for the HVIO banks availability and locations in each device
package.
Related Information
Supported I/O Standards for HVIO Banks on page 51
Block
HVIO HVIO I/O Group I/O Group
HVIO
Block Block
Availability of HVIO banks varies among 19 19
devices. Refer to pinout files.
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
The input and output paths also support the following features:
• Clock enable
• Asynchronous or synchronous reset
• Delay chain on input and output paths
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
50
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
Output
Write Data from Core [1] Delay Chain
D Q 2 x Td
Full Rate Outclock
To Core
Programmable
Input Register Pull-Down Resistor
Input
Read Data to Core [0] Alignment Q D Delay Chain
Registers
The VCCIO_HVIO and VCCPT_HVIO pins power the I/O buffers located in the I/O bank
within the HVIO interface.
Related Information
Supported I/O Standards for HVIO Banks on page 51
The HVIO bank supports 1.8 V, 2.5 V, and 3.3 V VCCIO_HVIO. In the bank, you can
implement I/O standards that support the bank's VCCIO_HVIO.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
51
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
Related Information
• I/O Standards Specifications, Agilex 3 FPGAs and SoCs Device Data Sheet
Provides the electrical specifications for the supported I/O standards.
• Assigning Pin I/O Standards in the Quartus Prime Assignment Editor on page 55
• Assigning Pin I/O Standards in the Quartus Prime Pin Planner on page 56
For more information about the dedicated features of the HVIO pins refer to the
related information.
Related Information
• Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the
banks shared with the HPS and SDM, the DQ groups, the pin functions, and the
pin locations.
• Pin Connection Guidelines: Agilex 3 FPGAs and SoCs
Provides descriptions of the pins available in the device and relevant
connection guidelines.
• GTS Transceiver PHY User Guide: Agilex 3 FPGAs and SoCs
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
52
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
Not turned on Powering up Fully powered up Configuration User mode Powering down
mode
Either tristate the • Pin voltage All pins are tri- All pins are tri- Valid data • Pin voltage
pins or do not must not stated. stated. transactions can must not
drive them with exceed be initiated. exceed
any external VCCIO_HVIO.(9) VCCIO_HVIO.(9)
voltage. • After full • When the
VCCIO_HVIO VCCIO_HVIO and
power up, the VCC power rails
pins are tri- are powering
stated. down, the I/O
pin signals
measure
between
ground and
the VCCIO_HVIO
voltage levels.
Note: After the Agilex 3 device fully powers up, the voltage levels for the HVIO pins must
not exceed the DC input voltage (VI) value or the AC maximum allowed overshoot
during transitions.
Related Information
Agilex 3 FPGAs and SoCs Device Data Sheet
Related Information
• Agilex 3 FPGAs and SoCs Device Data Sheet
• Programmable I/O Features Description on page 99
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
53
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
• Do not pull the output voltage higher than the VI (DC) level.
• Intel recommends that you use an external pull-up resistor higher than 2 kΩ.
Related Information
Programmable I/O Features Description on page 99
Assignment • View, create and edit assignments. • I/O standard • 1.8 V LVCMOS
Editor • The Quartus Prime software: • Programmable current strength • 2.5 V LVCMOS
— Dynamically validates your edits. • Programmable weak pull-up and • 3.3 V LVCMOS
— Notify you of errors and warnings of pull-down resistor
invalid assignments.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
54
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
3.3.1.1. Assigning Pin I/O Standards in the Quartus Prime Assignment Editor
1. From the Quartus Prime menu, select Assignments ➤ Assignment Editor
2. Under the To column, search for the pin that you want to configure.
3. Under the Assignment Name column, select I/O Standard (Accepts
wildcards).
4. Under the Value column, select the I/O standard that you want to assign to the
pin.
5. From the Quartus Prime menu, select File ➤ Save.
Related Information
Supported I/O Standards for HVIO Banks on page 51
Related Information
HVIO Programmable IOE Features Assignment Names and Settings on page 56
Provides a list of supported programmable IOE features for the HVIO, the
assignment names, and supported values.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
55
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
Table 30. HVIO Programmable IOE Features Assignment Names and Settings
This table lists the programmable IOE features assignment names and values that you can specify in the
Quartus Prime Assignment Editor and Pin Planner tools.
Related Information
Assigning Programmable IOE Features in the Quartus Prime Assignment Editor on
page 55
3.3.2. Assigning Pin I/O Standards in the Quartus Prime Pin Planner
You can use the Quartus Prime Pin Planner for I/O pin planning, assignment, and
validation.
2 3 4
(11) The weak pull-up/pull-down feature is available only for the input buffer.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
56
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
Related Information
Supported I/O Standards for HVIO Banks on page 51
Adhere to the these guidelines to prevent unnecessary current draw on the I/O pins
located in the HVIO banks. These guidelines apply for unpowered, power up to power-
on reset (POR), POR delay, POR delay to configuration, configuration, initialization,
user mode, and power down device states.
• The I/O pins in the HVIO banks can be tri-stated, driven to ground, or driven to
the VCCIO_HVIO level.
• While the device is powering up or down, the input signals to an HVIO pin, at all
times, must not exceed the VCCIO_HVIO rail.
• While the device is powering up, powering down, or not turned on, the HVIO pins
can tolerate a maximum of 10 mA per pin and a total of 100 mA per HVIO bank.
• After the device fully powers up, the voltage levels for the HVIO pins must not
exceed the DC input voltage (VI) value or the AC maximum allowed overshoot
during transitions.
• For more information, refer to the Agilex 3 FPGAs and SoCs Pin Connection
Guidelines document.
The VCCIO_HVIO pin ramps up and at period X, the At period X, keep the signals driven by the device connected to
VCCIO_HVIO voltage is 0.9 V. the HVIO I/O pin at a voltage of 0.9 V or lower.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
57
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
Table 32. Maximum Allowed Durations of DC Current Limits Per Current Strength
Setting
Current Strength Setting DC Current Limit Maximum Allowed Duration (%)
12 mA ±8 mA 100%
±10 mA 60%
±12 mA 40%
9 mA ±6 mA 100%
±7.5 mA 60%
±9 mA 40%
6 mA ±4 mA 100%
±5 mA 60%
±6 mA 40%
3 mA ±2 mA 100%
±2.5 mA 60%
±3 mA 40%
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
58
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
HSPICE HVIO • Simulates actual transistor level design to obtain precise electrical simulation.
• The syntax describes I/O buffers, board components and connections, and specific
simulation parameters.
• The model contains encrypted transistor and logic cell library models, output buffer
circuit models for single-ended and differential I/Os, and sample SPICE decks for
single-ended and differential I/Os.
• The model requires a longer simulation time compared to the IBIS model.
IBIS HVIO • This is a behavioral model of the I/O buffers based on the I/V curve data derived
from the HSPICE simulation.
• The pre-emphasis feature is an example that can use the IBIS simulation model.
• This model has a shorter simulation time compared to HSPICE.
• The simulation model has less complexity compared to HSPICE models and
supported by many simulation tools.
You can use the Agilex 3 IBIS model to simulate all valid I/O features configurations
across all supported I/O standards:
• Current strength
• Weak pull-up and pull-down
• Open-drain
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
59
3. Agilex 3 HVIO Banks
847266 | 2025.08.04
The HSPICE models provide options to simulate buffer behavior for the following I/O
features:
• Current strength
• Weak pull-up and pull-down
• Open-drain
Related Information
Agilex 3 Device Package Net Length Report
Downloads the net length reports for Agilex 3 devices.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
60
847266 | 2025.08.04
Send Feedback
Related Information
• Types of I/O Banks on page 7
• Device Migration Guidelines: Agilex 3 FPGAs and SoCs
The VCCIO_HPS pin powers the I/O buffers located in the HPS I/O bank within the
HPS I/O interface.
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
Input Output
Related Information
• I/O Standards Specifications, Agilex 3 FPGAs and SoCs Device Data Sheet
Provides the electrical specifications for the supported I/O standards.
• Assigning Pin I/O Standards in the Quartus Prime Pin Planner on page 67
4.2.2. Programmable I/O Element Features for the HPS I/O Bank
Table 35. Programmable IOE Feature Settings for Agilex 3 HPS I/O Bank
This table lists the supported IOE features for each I/O standards in the HPS I/O banks.
Related Information
• Agilex 3 FPGAs and SoCs Device Data Sheet
• Programmable I/O Features Description on page 99
• HPS Programmable I/O Timing Characteristics, Agilex 3 FPGAs and SoCs Device
Data Sheet
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
62
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
Not turned on Powering up Fully powered up HPS initialization HPS boot Powering down
completed
Pin voltage must • Pin voltage All pins are All pins are Valid data • Pin voltage
not exceed must not configured as configured as transactions can must not
VCCIO_HPS. exceed Schmitt Trigger Schmitt Trigger be initiated. exceed
VCCIO_HPS.(14) input with 20 kΩ input with 20 kΩ VCCIO_HPS.(14)
• All pins are in weak pull-up weak pull-up • All pins are in
undetermined enabled. enabled. undetermined
state. state.
Note: After the Agilex 3 device fully powers up, the voltage levels for the HPS I/O pins must
not exceed the DC input voltage (VI) value or the AC maximum allowed overshoot
during transitions.
Related Information
Agilex 3 FPGAs and SoCs Device Data Sheet
Assignment • View, create and edit • Programmable slew rate control 1.8 V LVCMOS
Editor assignments. • Programmable current strength
• The Quartus Prime software: • Programmable weak pull-up
— Dynamically validates your select
edits. • Programmable weak pull-down
— Notify you of errors and select
warnings of invalid • Schmitt Trigger
assignments.
• On-die termination impedance
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
63
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
64
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
Related Information
HPS I/O Programmable IOE Features Assignment Names and Settings on page 66
Provides a list of supported programmable IOE features for the HPS I/O, the
assignment names, and supported values.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
65
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
4.3.2.2. HPS I/O Programmable IOE Features Assignment Names and Settings
Table 38. HPS I/O Programmable IOE Features Assignment Names and Settings
This table lists the programmable IOE features assignment names and values that you can specify in the
Quartus Prime Assignment Editor and Pin Planner tools.
• Weak pull-up resistor Weak Pull-Up Resistor • On (default)—turns on the weak pull-up
• Weak pull-down resistor or pull-down resistor
• Off—turns off the weak pull-up or pull-
down resistor
Related Information
Assigning Programmable IOE Features in the Quartus Prime Assignment Editor on
page 65
(15) You must use this together with the Weak Pull-Up Resistor assignment.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
66
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
4.3.3. Assigning Pin I/O Standards in the Quartus Prime Pin Planner
You can use the Quartus Prime Pin Planner for I/O pin planning, assignment, and
validation.
2 3 4
Related Information
Supported I/O Standards for HPS I/O Banks on page 62
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
67
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
Adhere to the these guidelines to prevent unnecessary current draw on the I/O pins
located in the HPS I/O banks. These guidelines apply for unpowered, power up to
POR, POR delay, POR delay to configuration, configuration, initialization, user mode,
and power down device states.
• The I/O pins in the HPS I/O banks can be tri-stated, driven to ground, or driven to
the VCCIO_HPS level.
• While the device is powering up or down, the input signals of an I/O pin, at all
times, must not exceed the I/O buffer power supply rail of the bank where the I/O
pin resides.
• While the device is powering up, powering down, or not turned on, the HPS I/O
pins can tolerate a maximum of 10 mA per pin and a total of 100 mA per HPS I/O
bank.
• After the device fully powers up, the voltage levels for the HPS I/O pins must not
exceed the DC input voltage (VI) value or the AC maximum allowed overshoot
during transitions.
The VCCIO_HPS pin ramps up and at period X, the At period X, keep the signals driven by the device connected to
VCCIO_HPS voltage is 0.9 V. the HPS I/O pin at a voltage of 0.9 V or lower.
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
68
4. Agilex 3 HPS I/O Banks
847266 | 2025.08.04
You can use the Agilex 3 IBIS model to simulate all valid I/O features configurations
across all supported I/O standards:
• Slew rate
• Weak pull-up
• Current strength
• Open drain
Related Information
IBIS Models for FPGA Devices
Related Information
Agilex 3 Device Package Net Length Report
Downloads the net length reports for Agilex 3 devices.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
69
847266 | 2025.08.04
Send Feedback
Related Information
• Types of I/O Banks on page 7
• Device Migration Guidelines: Agilex 3 FPGAs and SoCs
The Agilex 3 pin-out files list the dedicated function of each pin in the SDM I/O bank.
Related Information
Agilex 3 Device Pin-Out Files
Each device pinout file lists the available I/O banks for each package, the banks
shared with the HPS and SDM, the DQ groups, the pin functions, and the pin
locations.
The VCCIO_SDM pin powers the I/O buffers located in the SDM I/O bank within the
SDM I/O interface.
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
5. Agilex 3 SDM I/O Banks
847266 | 2025.08.04
Related Information
Programmable I/O Features Description on page 99
Input Output
Related Information
I/O Standards Specifications, Agilex 3 FPGAs and SoCs Device Data Sheet
Provides the electrical specifications for the supported I/O standards.
Pin voltage must not • Pin voltage must Refer to the related Refer to the related • Pin voltage must
exceed VCCIO_SDM. not exceed information. information. not exceed
VCCIO_SDM.(16) VCCIO_SDM.(16)
• All pins are in • All pins are in
undetermined undetermined
state, except these state, except these
pins: pins:
— VSIGP_0 — VSIGP_0
— VSIGP_1 — VSIGP_1
— VSIGN_0 — VSIGN_0
— VSIGN_1 — VSIGN_1
— RREF_SDM — RREF_SDM
Related Information
• Agilex 3 FPGAs and SoCs Device Data Sheet
• Agilex 3 Configuration Timing Diagram, Device Configuration User Guide: Agilex 3
FPGAs and SoCs
• I/O Standards and Features for Configuration Pins on page 72
Lists the pre-configured SDM I/O settings for each SDM pin across different
device configurations modes and provides guidelines for the SDM I/O pins
during configuration mode.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
71
5. Agilex 3 SDM I/O Banks
847266 | 2025.08.04
AS_DATA1 SDM_IO1 Bidirectional 1.8 V LVCMOS Schmitt Trigger Disable 8 mA Disable Fast
AS_DATA2 SDM_IO3 Bidirectional 1.8 V LVCMOS Schmitt Trigger Disable 8 mA Disable Fast
AS_DATA0 SDM_IO4 Bidirectional 1.8 V LVCMOS Schmitt Trigger Disable 8 mA Disable Fast
AS_DATA3 SDM_IO6 Bidirectional 1.8 V LVCMOS Schmitt Trigger Disable 8 mA Disable Fast
SDM_IO0 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-down with 20 kΩ resistor
SDM_IO10 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO11 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO12 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO13 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO14 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO16 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-down with 20 kΩ resistor
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
72
5. Agilex 3 SDM I/O Banks
847266 | 2025.08.04
Pin Function SDM I/O Direction I/O Standard Schmitt Weak Drive Open Slew
Trigger/TTL Pull-Up/ Strength Drain Rate
Input Pull-Down
SDM_IO0 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-down with 20 kΩ resistor
SDM_IO5 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO7 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO9 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO12 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-up with 20 kΩ resistor
SDM_IO16 Input 1.8 V LVCMOS Schmitt Trigger Weak pull-down with 20 kΩ resistor
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
73
5. Agilex 3 SDM I/O Banks
847266 | 2025.08.04
Pin Function Direction I/O Standard Schmitt Weak Drive Open Slew
Trigger/ Pull-Up/ Strength Drain Rate
TTL Input Pull-Down
Related Information
• Agilex 3 Configuration Pins, Device Configuration User Guide: Agilex 3 FPGAs and
SoCs
Provides more information about the configuration pins in Agilex 3 devices.
• SDM I/O Buffer Behavior on page 71
• IBIS Models—SDM I/O Support on page 75
• Avalon Streaming Interface Dedicated Configuration Pins on page 75
Adhere to the guidelines to prevent unnecessary current draw on the I/O pins located
in the SDM I/O banks. These guidelines apply for unpowered, power up to POR, POR
delay, POR delay to configuration, configuration, initialization, user mode, and power
down device states.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
74
5. Agilex 3 SDM I/O Banks
847266 | 2025.08.04
• The I/O pins in the SDM I/O banks can be tri-stated, driven to ground, or driven to
the VCCIO_SDM level.
• While the device is powering up or down, the input signals of an I/O pin, at all
times, must not exceed the I/O buffer power supply rail of the bank where the I/O
pin resides.
• While the device is powering up, powering down, or not turned on, the SDM I/O
pins can tolerate a maximum of 10 mA per pin and a total of 100 mA per SDM I/O
bank.
• After the device fully powers up, the voltage levels for the SDM I/O pins must not
exceed the DC input voltage (VI) value or the AC maximum allowed overshoot
during transitions.
The VCCIO_SDM pin ramps up and at period X, the At period X, keep the signals driven by the device connected to
VCCIO_SDM voltage is 0.9 V. the SDM I/O pin at a voltage of 0.9 V or lower.
Related Information
I/O Standards and Features for Configuration Pins on page 72
You can use the Agilex 3 IBIS model to simulate all valid I/O features configurations
across all supported I/O standards:
• Slew rate
• Weak pull-up
• Weak pull-down
• Current strength
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
75
5. Agilex 3 SDM I/O Banks
847266 | 2025.08.04
Related Information
• IBIS Models for FPGA Devices
• I/O Standards and Features for Configuration Pins on page 72
Lists the pre-configured SDM I/O settings for each SDM pin across different
device configurations modes and provides guidelines for the SDM I/O pins
during configuration mode.
Related Information
Agilex 3 Device Package Net Length Report
Downloads the net length reports for Agilex 3 devices.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
76
847266 | 2025.08.04
Send Feedback
1.2 V LVCMOS output at the entire bank does not reach • Check the power-up and power-down sequences of each
1.2 V. voltage rail with respect to time.
Note: Not applicable to the HVIO bank. • Compare the power sequences as per recommendation
in the Power Management User Guide: Agilex 3 FPGAs
and SoCs.
• Verify the VCCIO_PIO voltage signal is 1.2 V.
Quartus Prime software shows an error message to indicate Select the I/O pins specified in the error message and check
incorrect I/O settings for VCCIO during design compilation. the I/O settings for the pins.
Error message example: Illegal constraint of I/O
bank to the location <I/O bank>
Quartus Prime software shows illegal I/O error message Select the I/O pins specified in the error message and set
during design compilation. the pins to the correct I/O function. Refer to the device pin-
Error message example: Programmable VOD option is outs file for more information about the pin functions.
set to 1 for pin <pin_name>, but setting is
not supported by <I/O standard>
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
847266 | 2025.08.04
Send Feedback
7. GPIO FPGA IP
The GPIO FPGA IP provides features to support the device I/O blocks. You can use the
Quartus Prime parameter editor to configure the GPIO FPGA IP.
The IP version (X.Y.Z) number can change with each Quartus Prime software version.
A change in:
• X indicates a major revision of the IP. If you update the Quartus Prime software,
you must regenerate the IP.
• Y indicates the IP includes new features. Regenerate your IP to include these new
features.
• Z indicates the IP includes minor changes. Regenerate your IP to include these
changes.
IP Version 23.0.0
Related Information
GPIO FPGA IP Release Notes
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
7. GPIO FPGA IP
847266 | 2025.08.04
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
79
7. GPIO FPGA IP
847266 | 2025.08.04
After generating and instantiating your IP variant, assign appropriate pins to connect
the ports.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
80
7. GPIO FPGA IP
847266 | 2025.08.04
<your_ip>.cmp The VHDL Component Declaration (.cmp) file is a text file that contains local
generic and port definitions that you use in VHDL design files.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
81
7. GPIO FPGA IP
847266 | 2025.08.04
<your_ip>.qgsimc (Platform Designer Simulation caching file that compares the .qsys and .ip files with the current
systems only) parameterization of the Platform Designer system and IP. This comparison
determines if Platform Designer can skip regeneration of the HDL.
<your_ip>.qgsynth (Platform Synthesis caching file that compares the .qsys and .ip files with the current
Designer systems only) parameterization of the Platform Designer system and IP. This comparison
determines if Platform Designer can skip regeneration of the HDL.
<your_ip>.bsf A symbol representation of the IP variation for use in Block Diagram Files
(.bdf).
<your_ip>.ppf The Pin Planner File (.ppf) stores the port and node assignments for IP
components you create for use with the Pin Planner.
<your_ip>_bb.v Use the Verilog blackbox (_bb.v) file as an empty module declaration for use
as a blackbox.
<your_ip>_inst.v or _inst.vhd HDL example instantiation template. Copy and paste the contents of this file
into your HDL file to instantiate the IP variation.
<your_ip>.regmap If the IP contains register information, the Quartus Prime software generates
the .regmap file. The .regmap file describes the register map information of
master and slave interfaces. This file complements the .sopcinfo file by
providing more detailed register information about the system. This file enables
register display views and user customizable statistics in System Console.
<your_ip>.svd Allows HPS System Debug tools to view the register maps of peripherals that
connect to HPS within a Platform Designer system.
During synthesis, the Quartus Prime software stores the .svd files for slave
interface visible to the System Console masters in the .sof file in the debug
session. System Console reads this section, which Platform Designer queries
for register map information. For system slaves, Platform Designer accesses
the registers by name.
<your_ip>.v HDL files that instantiate each submodule or child IP for synthesis or
<your_ip>.vhd simulation.
/synopsys/vcs Contains a shell script vcs_setup.sh to set up and run a VCS* simulation.
/synopsys/vcsmx Contains a shell script vcsmx_setup.sh and synopsys_sim.setup file to
set up and run a VCS MX simulation.
<IP submodule>/ Platform Designer generates /synth and /sim sub-directories for each IP
submodule directory that Platform Designer generates.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
82
7. GPIO FPGA IP
847266 | 2025.08.04
Data Direction — • Input Specifies the data direction for the GPIO.
• Output
• Bidir
Use pseudo differential • Data Direction = • On If turned on in output mode, enables pseudo
buffer Output • Off differential output buffers.
• Use differential This option is automatically turned on for
buffer = On bidirectional mode if you turn on Use
differential buffer.
Enable output enable Data Direction = • On If turned on, enables user input to the OE
port Output • Off port. This option is automatically turned on
for bidirectional mode.
Register mode — • None Specifies the register mode for the GPIO IP:
• Simple • None—specifies a simple wire connection
register from/to the buffer.
• DDIO • Simple register—specifies that the DDIO
is used as a simple register in single data-
rate mode (SDR). The Fitter may pack this
register in the I/O.
• DDIO— specifies that the IP core uses the
DDIO.
Enable synchronous Register mode = DDIO • None Specifies how to implement synchronous
clear / preset port • Clear reset port.
• Preset • None—Disables synchronous reset port.
• Clear—Enables the SCLR port for
synchronous clears.
• Preset—Enables the SSET port for
synchronous preset.
continued...
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
83
7. GPIO FPGA IP
847266 | 2025.08.04
Enable asynchronous Register mode = DDIO • None Specifies how to implement asynchronous
clear / preset port • Clear reset port.
• Preset • None—Disables asynchronous reset port.
• Clear—Enables the ACLR port for
asynchronous clears.
• Preset—Enables the ASET port for
asynchronous preset.
ACLR and ASET signals are active high.
Enable clock enable Register mode = DDIO • On • On—exposes the clock enable (CKE) port
ports • Off to allow you to control when data is
clocked in or out. This signal prevents
data from being passed through without
your control.
• Off—clock enable port is not exposed and
data always pass through the register
automatically.
Input DDIO With Delay • Data Direction = • On If turned on, the I/O uses the DDIO with
Bidir • Off delay.
• Register mode =
DDIO
Separate input/output • Data Direction = • On If turned on, enables separate clocks (CK_IN
Clocks Bidir • Off and CK_OUT) for the input and output paths
• Register mode = in bidirectional mode.
Simple register or
DDIO
Related Information
• Input Path on page 89
Provides a figure showing the input path waveform.
• Guideline: Swap datain_h and datain_l Ports in Migrated IP on page 84
The GPIO IP drives these ports to the output registers on these clock edges:
• datain_h—on the falling edge of outclock
• datain_l—on the rising edge of outclock
If you migrated your GPIO IP from Stratix V, Arria V, and Cyclone V devices, swap the
datain_h and datain_l ports when you instantiate the IP generated by the GPIO IP.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
84
7. GPIO FPGA IP
847266 | 2025.08.04
Data Pad
Clock GPIO FPGA IP
Reset
dout
Data Interface Signals oe
din
pad_in
ck_in pad_in_b
ck_out pad_out
Clock Interface Signals ck GPIO FPGA IP
cke pad_out_b
pad_io
sclr pad_io_b
Reset Interface Signals aclr
aset
sset
pad_in_b[SIZE-1:0] Input Negative node of the differential input signal from the pad. This port
is available if you turn on the Use differential buffer option.
pad_out_b[SIZE-1:0] Output Negative node of the differential output signal to the pad. This port is
available if you turn on the Use differential buffer option.
pad_io_b[SIZE-1:0] Bidirectional Negative node of the differential bidirectional signal connection with
the pad. This port is available if you turn on the Use differential
buffer option.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
85
7. GPIO FPGA IP
847266 | 2025.08.04
din[DATA_SIZE-1:0] Input Data input from the FPGA core in output or bidirectional mode.
DATA_SIZE depends on the register mode:
• Bypass or simple register—DATA_SIZE = SIZE
• DDIO—DATA_SIZE = 2 × SIZE
dout[DATA_SIZE-1:0] Output Data output to the FPGA core in input or bidirectional mode,
DATA_SIZE depends on the register mode:
• Bypass or simple register—DATA_SIZE = SIZE
• DDIO—DATA_SIZE = 2 × SIZE
oe[OE_SIZE-1:0] Input OE input from the FPGA core in output mode with Enable output
enable port turned on, or bidirectional mode. OE is active high.
When transmitting data, set this signal to 1. When receiving data,
set this signal to 0. OE_SIZE depends on the register mode:
• Bypass or simple register—DATA_SIZE = SIZE
• DDIO—DATA_SIZE = SIZE
ck Input In input and output paths, this clock feeds a packed register or
DDIO.
In bidirectional mode, this clock is the unique clock for the input and
output paths if you turn off the Separate input/output Clocks
parameter.
ck_in Input In bidirectional mode, these clocks feed a packed register or DDIO in
the input and output paths if you turn on the Separate input/
ck_out output Clocks parameter.
sclr Input Synchronous clear input. Not available if you select None or Preset
for the Enable synchronous clear / preset port option.
aclr Input Asynchronous clear input. Active high. Not available if you select
None or Preset for the Enable asynchronous clear / preset
port option.
aset Input Asynchronous set input. Active high. Not available if you select None
or Clear for the Enable asynchronous clear / preset port
option.
sset Input Synchronous set input. Not available if you select None or Clear for
the Enable synchronous clear / preset port option.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
86
7. GPIO FPGA IP
847266 | 2025.08.04
t1 t0
t3 t2 t1 t0
• If the data bus size value is SIZE, the LSB is at the right-most position.
• If the data bus size value is 2 × SIZE, the bus is made of two words of SIZE.
• If the data bus size value is 4 × SIZE, the bus is made of four words of SIZE.
• The LSB is in the right-most position of each word.
• The right-most word specifies the first word going out for output buses and the
first word coming in for input buses.
Related Information
Input Path on page 89
Input Bus
For the din bus, if datain_h and datain_l are the high and low bits, with each
width being datain_width:
• datain_h = din[(2 × datain_width - 1):datain_width]
• datain_l = din[(datain_width - 1):0]
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
87
7. GPIO FPGA IP
847266 | 2025.08.04
Output Bus
For the dout bus, if dataout_h and dataout_l are the high and low bits, with each
width being dataout_width:
• dataout_h = dout[(2 × dataout_width - 1):dataout_width]
• dataout_l = dout[(dataout_width - 1):0]
DDIO • sclr
• sset
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
88
7. GPIO FPGA IP
847266 | 2025.08.04
DATAIN[3:0] Output
Path
DATAOUT[3:0] Input
Path
Input Data goes from the delay The DDIO operates as a simple The DDIO operates as a regular
element to the core, bypassing register. The Fitter chooses DDIO.
all double data rate I/Os whether to pack the register in
(DDIOs). the I/O or implement the
register in the core, depending
Output Data goes from the core on the area and timing trade-
straight to the delay element, offs.
bypassing all DDIOs.
Bidirectional The output buffer drives both an The DDIO operates as a simple The DDIO operates as a regular
output pin and an input buffer. register. The output buffer DDIO. The output buffer drives
drives both an output pin and both an output pin and an input
an input buffer. buffer. The input buffer drives a
set of three flip-flops.
If you use asynchronous clear and preset signals, all DDIOs share these same signals.
dout[0] Pad
DDIO Delay
IN Element
dout[1]
ck
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
89
7. GPIO FPGA IP
847266 | 2025.08.04
pad D0 D1 D2 D3 D4 D5 D6 D7
ck
dout[0] D0 D2 D4 D6
dout[1] D1 D3 D5 D7
Related Information
Data Bit-Order for Data Interface on page 87
din[0]
DDIO Delay Pad
OUT Element
din[1]
ck
oe Delay
FF Element
From Output
ck Data Path
The difference between the output path and output enable (OE) path is that the OE
path does not contain DDIO. To support packed-register implementations in the OE
path, a simple register operates as DDIO.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
90
7. GPIO FPGA IP
847266 | 2025.08.04
You can configure the DDIO on the input and output path as a flip flop by adding .qsf
assignments.
Note: The .qsf assignments do not guarantee register packing. However, these
assignments enable the Fitter to find a legal placement. Otherwise, the Fitter keeps
the flip flop in the core.
Related Information
Using the Quartus Prime Timing Analyzer, Quartus Prime Pro Edition User Guide:
Timing Analyzer
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
91
7. GPIO FPGA IP
847266 | 2025.08.04
• I/O interface paths—from the FPGA to external receiving devices and from
external transmitting devices to the FPGA.
• Core interface paths of data and clock—from the I/O to the core and from the core
to I/O.
Note: The Timing Analyzer treats the path inside the DDIO_IN and DDIO_OUT blocks as
black boxes.
DATAOUT[0] Pad
DDIO Delay
Core Interface Data Path Element
IN
DATAOUT[1]
I/O Interface Path
CK
Core Interface Clock Path
DATAOUT[0]
DDIO Delay Pad
Core Interface Data Path
OUT Element
DATAOUT[1]
I/O Interface Path
CK
Core Interface Clock Path
OEIN Delay
FF Element
Core Interface Data Path
From Output
CK Data Path
Core Interface Clock Path
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
92
7. GPIO FPGA IP
847266 | 2025.08.04
The Agilex 3 FPGAs and SoCs Device Data Sheet provides information on delay chain
specification and offset settings across fast and slow models.
• Fast model—Specifies the delay value when the maximum delay chain offset
setting is selected using the fastest process.
• Slow model—Specifies the delay value when the maximum delay chain offset
setting is selected using the slowest process within a specific speed grade.
For example, if you assign input delay chain setting to #10 using an Agilex 3 device
with -1 speed grade:
• Minimum delay value = 10 * delay specification for fast model / 63 = x ns
• Maximum delay value = 10 * delay specification for -1V slow model / 63 = y ns
The input delay ranges from x ns to y ns when you select -1 device speed grade in
your design.
Note: The IOE delay chains are not process, voltage and temperature (PVT) compensated,
which means the delay chain value changes across PVT.
Follow the timing guidelines and examples to ensure that the Timing Analyzer
analyzes the I/O timing correctly.
• To perform proper timing analysis for the I/O interface paths, specify the system
level constraints of the data pins against the system clock pin in the .sdc file.
• To perform proper timing analysis for the core interface paths, define these clock
settings in the .sdc file:
— Clock to the core registers
— Clock to the I/O registers for the simple register and DDIO modes
Related Information
AN 433: Constraining and Analyzing Source-Synchronous Interfaces
Describes techniques for constraining and analyzing source-synchronous interfaces.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
93
7. GPIO FPGA IP
847266 | 2025.08.04
Table 63. Single Data Rate Input Register .sdc Command Examples
Command Command Example Description
create_clock create_clock -name sdr_in_clk -period Creates clock setting for the input clock.
"100 MHz" sdr_in_clk
set_input_delay set_input_delay -clock sdr_in_clk Instructs the Timing Analyzer to analyze the
0.15 sdr_in_data timing of the input I/O with a 0.15 ns input
delay.
create_clock create_clock -name virtual_clock Create clock setting for the virtual clock and the
-period "200 MHz" DDIO clock.
continued...
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
94
7. GPIO FPGA IP
847266 | 2025.08.04
set_input_delay set_input_delay -clock virtual_clock Instruct the Timing Analyzer to analyze the
0.25 ddio_in_data positive clock edge and the negative clock edge
of the transfer. Note the -add_delay in the
set_input_delay -add_delay
second set_input_delay command.
-clock_fall -clock virtual_clock 0.25
ddio_in_data
Table 65. Single Data Rate Output Register .sdc Command Examples
Command Command Example Description
create_clock and create_clock -name sdr_out_clk Generate the source clock and the output clock
create_generated_ -period "100 MHz" sdr_out_clk to transmit.
clock create_generated_clock -source
sdr_out_clk -name sdr_out_outclk
sdr_out_outclk
create_clock and create_clock -name ddio_out_clk Generate the clocks to the DDIO and the clock
create_generated_ -period "200 MHz" ddio_out_clk to transmit.
clock
continued...
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
95
7. GPIO FPGA IP
847266 | 2025.08.04
create_generated_clock -source
ddio_out_clk -name ddio_out_outclk
ddio_out_outclk
However, if the I/O PLL drives the clocks of the GPIO input registers (simple register
or DDIO mode), you can set the compensation mode to source synchronous mode.
The Fitter automatically configures the I/O PLL to improve the setup and hold slack for
the input I/O timing analysis.
For the GPIO output and output enable registers, you can add delay to the output data
and clock using the output and output enable delay chains.
• If you observe setup time violation, you can increase the output clock delay chain
setting.
• If you observe hold time violation, you can increase the output data delay chain
setting.
You can generate the design examples from the GPIO IP parameter editor. After you
set the parameters that you want, click Generate Example Design. The IP
parameter editor generates the design example source files in the directory you
specify.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
96
7. GPIO FPGA IP
847266 | 2025.08.04
Note: The .qsys files are for internal use during design example generation only. You
cannot edit these .qsys files.
To generate the synthesizable Quartus Prime design example from the source files,
run the following command in the design example directory:
quartus_sh -t make_qii_design.tcl
The TCL script creates a qii directory that contains the ed_synth.qpf project file.
You can open and compile this project in the Quartus Prime software.
Using the design example, you can run a simulation using a single command,
depending on the simulator that you use. The simulation demonstrates how you can
use the GPIO IP.
To generate the simulation design example from the source files for a Verilog
simulator, run the following command in the design example directory:
quartus_sh -t make_sim_design.tcl
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
97
7. GPIO FPGA IP
847266 | 2025.08.04
To generate the simulation design example from the source files for a VHDL simulator,
run the following command in the design example directory:
quartus_sh -t make_sim_design.tcl VHDL
The TCL script creates a sim directory that contains subdirectories—one for each
supported simulation tool. You can find the scripts for each simulation tool in the
corresponding directories.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
98
847266 | 2025.08.04
Send Feedback
Programmable Each I/O pin contains a slew rate control, allowing you to specify the slew rate on a pin-by-pin basis.
Output Slew Rate The slew rate control affects both the rising and falling edges of the signal.
Control A faster slew rate provides high-speed transitions for high-performance systems while a slower slew
rate reduces system noise and crosstalk but adds a nominal delay to the rising and falling edges.
Programmable You can activate the programmable IOE delays to ensure zero hold time, minimize setup time, or
IOE Delay increase the clock-to-output time. This feature helps read and write timing margins because it
minimizes the uncertainties between signals on the bus.
Each pin can have a different input delay from the pin-to-input register or a delay from output
register-to-output pin values. This is to ensure that the signals within a bus have the same delay
going into or out of the device.
Programmable You can assign current strength setting to the single-ended output buffer.
Current Strength For a list of I/O standards that support programmable current strength, refer to the related
information.
The current strength setting is not supported for:
• HSIO banks
• Input-only pins
• Pins with I/O standards that use true differential output buffers
• Dedicated programming pins such as TDO
Programmable The programmable open-drain output provides a high-impedance state on output when logic to the
Open-Drain output buffer is high. If logic to the output buffer is low, the output is low.
Output You can attach several open-drain outputs to a wire. This connection type is like a logical OR function
and is commonly called an active-low wired-OR circuit. If at least one of the outputs is in logic 0 state
(active), the circuit sinks the current and brings the line to low voltage.
You can use open-drain output if you are connecting multiple devices to a bus. For example, you can
use the open-drain output for system-level control signals that can be asserted by any device or as an
interrupt.
Programmable Each I/O pin on supported banks provides an optional programmable pull-up resistor during user
Pull-Up Resistor mode. The pull-up resistor weakly holds the I/O to the I/O bank power supply level.
Programmable Each I/O pin on supported banks provides an optional programmable pull-down resistor during user
Pull-Down mode. The pull-down resistor weakly holds the I/O to the ground level.
Resistor
Programmable Pre-emphasis momentarily boosts the high-frequency component of the output signal during
Pre-Emphasis switching to increase the output slew rate. The amount of pre-emphasis required depends on the
attenuation of the high-frequency component along the transmission line.
For more information, refer to Programmable Pre-Emphasis on page 100.
Programmable De-emphasis attenuates the I/O signal height when the symbol is longer than the specified duration.
De-Emphasis You can use de-emphasis to alter the signal amplitude to compensate for signal degradation over long
transmission path.
For more information, refer to Programmable De-Emphasis on page 101.
Receiver The FPGAs support Continuous Time Linear Equalization (CTLE) on all HSIO input buffers except for
Equalization 1.0 V, 1.05 V, 1.1 V, 1.2 V, and 1.3 V LVCMOS I/O standards. You can turn on CTLE for external
Calibration memory interface implementation.
For more information, refer to Continuous Time Linear Equalization on page 103.
continued...
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.
8. Programmable I/O Features Description
847266 | 2025.08.04
Programmable The programmable VOD settings allow you to adjust the output eye-opening to optimize the trace
Differential Output length and power consumption. A higher VOD swing improves voltage margins at the receiver end, and
Voltage a smaller VOD swing reduces power consumption.
For more information, refer to Programmable Differential Output Voltage on page 102.
Schmitt Trigger The Schmitt Trigger allows input buffers to respond to slow input edge rates with a fast output edge
rate. Most importantly, Schmitt Triggers provide hysteresis on the input buffer, preventing slow-rising
noisy input signals from ringing or oscillating on the input signal driven into the logic array.
This feature provides system noise tolerance on the device inputs but adds a small, nominal input
delay.
On-Die The HPS and SDM input pins support on-die pull-up and pull-down termination. The on-die
Termination termination provides impedance matching and termination capabilities. You can enable this feature on
Impedance input operations to minimize reflections and improve electrical margins.
Related Information
• Programmable I/O Element Features for the HVIO Bank on page 53
• Programmable I/O Element Features for the HPS I/O Bank on page 62
Voltage boost
from pre-emphasis
VP
OUT
VOD
OUT
VP
Differential output
voltage (peak–peak)
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
100
8. Programmable I/O Features Description
847266 | 2025.08.04
Behavior If turned on, the feature attenuates the I/O signal height, when the symbol is longer than 1 UI.
Recommendations • The de-emphasis effect reduces eye height. If you use a non-default de-emphasis setting,
perform an IBIS or HSPICE simulation to estimate the I/O buffer's electrical performance.
• To get the optimal setting for your design, start the simulation with the lowest de-emphasis
setting. Then, fine-tune the setting until you get the best signal integrity condition.
Figure 52. De-Emphasis Off: Signal Attenuation for Supported I/O Standards
1 UI
1 0 1 0 0 0 0 1 1 1 1 0 1 0 0 0 VTT (†)
(†) Offset voltage has dependency on the board termination setup and voltages.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
101
8. Programmable I/O Features Description
847266 | 2025.08.04
Figure 53. Constant Impedance De-Emphasis: Signal Attenuation for Supported I/O
Standards
1 UI
LOW
HIGH
1 0 1 0 0 0 0 1 1 1 1 0 1 0 0 0 VTT (†)
HIGH
LOW
(†) Offset voltage has dependency on the board termination setup and voltages.
Figure 54. Low Power De-Emphasis: Signal Attenuation for Supported I/O Standards
1 UI
LOW
HIGH
1 0 1 0 0 0 0 1 1 1 1 0 1 0 0 0 VTT (†)
HIGH
LOW
(†) Offset voltage has dependency on the board termination setup and voltages.
General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs Send Feedback
102
8. Programmable I/O Features Description
847266 | 2025.08.04
Single-Ended Waveform
VOD
p-n=0V
VOD
You can set this feature to automatically tune the receiver equalization settings based
on the frequency content of the incoming signals. Through the automatic tuning, you
can obtain the optimal CTLE settings.
The Agilex 3 FPGAs support a one-time receiver CTLE calibration. If you enable this
feature, the calibration finds a stable receiver equalizer setting. Once found, the
feature locks the equalizer value to the stable setting.
Send Feedback General-Purpose I/O User Guide: Agilex 3 FPGAs and SoCs
103
847266 | 2025.08.04
Send Feedback
© Altera Corporation. Altera, the Altera logo, the ‘a’ logo, and other Altera marks are trademarks of Altera
Corporation. Altera reserves the right to make changes to any products and services at any time without notice.
Altera assumes no responsibility or liability arising out of the application or use of any information, product, or
service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to
obtain the latest version of device specifications before relying on any published information and before placing
orders for products or services.
*Other names and brands may be claimed as the property of others.