Hds WKB 2015.1 073384
Hds WKB 2015.1 073384
Student Workbook
This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors
and is subject to license terms. No part of this document may be photocopied, reproduced, translated, distributed,
disclosed or provided to third parties without the prior written consent of Mentor Graphics..
This document is for information and instruction purposes. Mentor Graphics reserves the right to make
changes in specifications and other information contained in this publication without prior notice, and the
reader should, in all cases, consult Mentor Graphics to determine whether any changes have been
made.
The terms and conditions governing the sale and licensing of Mentor Graphics products are set forth in
written agreements between Mentor Graphics and its customers. No representation or other affirmation
of fact contained in this publication shall be deemed to be a warranty or give rise to any liability of Mentor
Graphics whatsoever.
MENTOR GRAPHICS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS MATERIAL
INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND
FITNESS FOR A PARTICULAR PURPOSE.
MENTOR GRAPHICS SHALL NOT BE LIABLE FOR ANY INCIDENTAL, INDIRECT, SPECIAL, OR
CONSEQUENTIAL DAMAGES WHATSOEVER (INCLUDING BUT NOT LIMITED TO LOST PROFITS)
ARISING OUT OF OR RELATED TO THIS PUBLICATION OR THE INFORMATION CONTAINED IN IT,
EVEN IF MENTOR GRAPHICS CORPORATION HAS BEEN ADVISED OF THE POSSIBILITY OF
SUCH DAMAGES.
U.S. Government Restricted Rights. The SOFTWARE and documentation have been developed entirely
at private expense and are commercial computer software provided with restricted rights. Use,
duplication or disclosure by the U.S. Government or a U.S. Government subcontractor is subject to the
restrictions set forth in the license agreement provided with the software pursuant to DFARS 227.7202-
3(a) or as set forth in subparagraph (c)(1) and (2) of the Commercial Computer Software - Restricted
Rights clause at FAR 52.227-19, as applicable.
Contractor/manufacturer is:
Mentor Graphics Corporation
8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777.
Telephone: 503.685.7000
Toll-Free Telephone: 800.592.2210
Website: www.mentor.com
SupportNet: supportnet.mentor.com/
Send Feedback on Documentation: supportnet.mentor.com/doc_feedback_form
TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of
Mentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the
prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-
party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended to
indicate a product from, or associated with, a particular third party. A current list of Mentor Graphics’
trademarks may be viewed at: www.mentor.com/trademarks.
End-User License Agreement: You can print a copy of the End-User License Agreement from:
www.mentor.com/eula.
Module 1
The Basics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
Overview of Mentor Graphics FPGA Design Product Names . . . . . . . . . . . . . . . . . . . . . . . 14
Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
What Is HDL Designer? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
Supported Platforms and OS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
Complete HDL Design Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
“Standard” Windows Look and Feel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
Getting Help: HDS InfoHub . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
Getting Help: Launch Pad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
What Is HDL Designer Suitable for?. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
Where Does HDL Designer Fit in the Design Flow? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23
Preparing to Use HDL Designer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
The Design Manager . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25
The Design Manager – Setup Assistant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
The Design Manager – Basic Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
The Design Manager – Project Manager . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
Project Manager – My Project File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
Project Manager – Shared Project Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
Project Manager – Open Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
Concept of Library/Unit/View. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
Design Manager – Design Explorer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
Design Explorer – Design Unit Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
Design Explorer – Source File Browser . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
Design Explorer – Logical Object Browser. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
Design Explorer – Hierarchy Pane . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38
Main Icons in the Design Explorer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39
Manipulations in the Design Explorer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41
HDL Designer Design Data Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
How Does HDL Designer Find These Directories? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
Example Project File – Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
Create and Modify Library Mappings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
Side Data Sub Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Downstream Sub Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
Tasks and Templates Sub Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
What Is the Flow Through HDL Designer?. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49
Create a Design Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
Create a Design Unit – Bottom-Up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
Create a Design Unit – Top-Down . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
Lab 1: Testing and Synthesizing a BCD Counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
Module 2
Block Diagrams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
What Is a Block Diagram?. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
How to Create a Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
Block Diagram Design Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Design Objects: Blocks and Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61
Add Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62
Add Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Add ModuleWare . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Add Signal Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
Make Connections: Bus Ripper . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
Make Connections: Bundle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
Add Port Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
Block Diagram Objects Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Interactive Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Make Connections Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
Block Properties. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
Component Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Signal Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
User Declarations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Object Visibility. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
Add Comment Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
Add Comment: Include Position . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
Add Comment: Using Text Editor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
Page Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
Add Panel. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89
Visualize/Edit IBD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
Block Diagram Master Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
Block Diagram Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
Package References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
Navigate Through Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96
Navigate: Going Down . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
Navigate: Going Up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
Navigate: Back/Forward . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
Navigate: Using Strokes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
Reconcile Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101
Hierarchical Net Highlight. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
Hierarchical Net Change . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
Hierarchical Net Insertion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106
Re-Level – Add Hierarchy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
Re-Level – Remove Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109
Saving the Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
Lab 2: Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112
Module 3
State Machines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
Module 4
Design Creation Editors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
Design Creation Editors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158
DesignPad: Design-Aware Text Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
DesignPad: Fully Integrated, Design-Aware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160
DesignPad: Rapidly Navigate HDL Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161
DesignPad: Comparing Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162
DesignPad: Column Editing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163
DesignPad: Powerful Editing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
DesignPad: Fully Customizable. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
What Is a Flow Chart? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
How to Create a Flow Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167
Flow Chart Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168
Flow Chart Objects: Start and End Points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169
Flow Chart Objects: Action and Decision Boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
Module 5
Editing Symbols/Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
How to Create a New Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208
How to Edit a Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209
Symbol Notation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210
Symbol Editor Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211
Symbol Autoshapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212
Symbol Editor: Equidistant Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213
Symbol Editor: Custom Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214
Symbol Editor: Custom Symbol Graphics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215
Symbol Editor: Custom Symbol Appearance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216
Symbol Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217
Interface Editor: Invocation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218
Interface Editor: Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219
Editing Generic Declarations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220
Editing Local Declarations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221
Module 6
Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
Test Bench: Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224
Test Bench: Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225
Test Bench: Stimuli and Checkers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226
Test Bench: Wait Statement in Flow Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227
Simulators Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228
Debug in HDL Designer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229
Debug: Simulator Set Up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230
Debug: Simulator Start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233
Debug: Log Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234
Debug: Simulation Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236
Debug: Block Diagram/IBD/SM Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237
Probe: Block Diagram/IBD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239
Breakpoint: Block Diagram/IBD/FC-SM Editors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240
Enable Animation: Flow Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241
Enable Animation: State Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242
Animation Tools: Flow Chart/State Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243
Activity Trail: State Machine / Flow Chart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245
Goto: Flow Chart/State Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246
Optimization and HDL Designer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247
Code Coverage Simulation Metrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248
Code Coverage with HDL Designer - Method 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250
Code Coverage with HDL Designer - Method 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251
Code Coverage with HDL Designer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252
Coverage Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253
Coverage +cover . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254
Lab 4 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255
Module 7
Version Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257
Version Management Concepts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258
HDL Designer Environment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259
Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260
Check In . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262
Check Out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264
Get . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265
Change Lock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266
Label . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267
Synchronize . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268
Status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269
History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270
Version Management Tasks Supported . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271
When to Use Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Module 8
Add HDL Files/Visualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
Add Existing HDL Files – Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
Add Existing HDL Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
Add Existing HDL Files: Step 2 – File Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 299
Add Existing HDL Files: Step 3 – Target Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
Add Existing HDL Files: Step 4 – Target Directories. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304
Design Explorer with Imported Design Units . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
Understanding Visualization versus Entry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Visualize Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307
Visualize Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308
Visualization Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309
Alternate Step – Convert to Graphics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310
Interactive Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313
Lab 5 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314
Module 9
IP and Vendor Flows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315
Top-Down Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316
Using HDL Designer for Top-Down Design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317
Mixing Top-Down With Bottom-Up Design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318
What Is ModuleWare. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319
ModuleWare – Dynamic # of Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320
ModuleWare – Settings Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321
ModuleWare – Automatic Port Widths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323
ModuleWare – In-Place Changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324
Module 10
Setup and Team Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357
Resource Settings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358
Team Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359
Resource Settings: User / Team. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360
Setting Up for Teams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 362
User and Team Resource Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363
Resource Settings: Location (Ref. Page) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364
Team and User Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366
Main Settings: General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368
Main Settings: Text Editor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372
Main Settings: Diagrams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373
Main Settings: Checks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 374
Main Settings: Save . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375
Main Settings: User Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376
Code Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377
Code Generation Preferences – VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378
Code Generation Preferences – Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382
User Template Creation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385
User / Team Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392
User/Team Templates and Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393
Version Management Team Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394
Module 11
DesignChecker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395
Introducing Static Design Checking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396
What Types of Checks Can Be Performed? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397
Understanding the Process. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399
DesignChecker Node . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400
Understanding Base Rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401
Module 12
Interface Based Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 421
Interface Based Design: Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422
Interface Based Design: Overview (cont.). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423
Interface Based Design: Utilization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424
IBD Elements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425
IBD Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 426
Grouping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427
Hide/Show Columns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429
Filter Columns/Rows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 430
Filter Rows. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431
Create Viewpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432
Visualization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433
Net-Centric Connectivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434
Port-Centric Connectivity – Unconnected Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435
Quick Connect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436
Signal Busses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438
Bundles in IBD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 439
Port Map Expression . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 440
Generate Frames . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 441
Adding a Level of Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442
Adding Requirement References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443
Module 13
Documentation and Viewpoints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445
Documentation Using OLE: Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446
Documentation Using OLE: Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 448
Documentation Using OLE: Drag Bar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449
Documentation Using OLE: Panels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 450
Appendix A
Tracing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
Objectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
What Is ReqTracerTM? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 472
ReqTracer Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473
Sample Requirement Documents. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474
ReqTracer: Management View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475
ReqTracer: Coverage Analysis View. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476
ReqTracer: Impact Analysis View. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477
ReqTracer: Graphical View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478
ReqTracer Integration with HDL Designer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479
Enabling Requirements Referencing – ReqTracer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 480
Enabling Requirements Referencing – HDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482
Defining Requirement References. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484
Adding Requirement Reference – Graphical Design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485
Pasting Requirement Reference – Graphical Design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486
Setting Display Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487
Generating HDL from Graphical Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488
Refreshing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489
Examining and Locating Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490
ReqTracer Benefits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491
Objectives
Upon completion of this module, you will be able to:
• ModelSim®
• Questa® Advanced
• HDL Designer
Simulator
• Precision RTL Plus • Mentor® Verification IP
1-2 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes
Notes
♦ HDS stands for HDL Designer Series. This is the suite of
products which includes HDL Designer. However this
acronym will be often used throughout the document in place
of HDL Designer to make it shorter
1-3 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-4 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-5 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Design Register
Checking Assistant
1-6 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-7 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-8 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-9 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-10 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1
Design
VHDL
Verilog
Test
Bench
2
VHDL
Verilog
3
ModelSim
2. Capture Test Bench
EDIF
3. Simulate RTL Design
4 7 4. Synthesize and Optimize Design
5. Place and Route Design
FPGA Vendor 6
HDL 6. Generate Back-annotated HDL
Place and Route Vital + SDF
7. Simulate Design with timing
5
EDIF
1-11 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-12 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-13 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-14 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-15 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-16 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-17 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-18 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-19 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Concept of Library/Unit/View
Concept of Library/Unit/View
♦ HDL Designer is built on a concept of Library/Unit/View.
This is analogous to the structure of Library/Entity/Architecture
in VHDL.
There can only be one interface per Design Unit. Therefore, there
can only be one Design Unit of a given name per Library.
There may be multiple views per Unit. The same View name may
be used in different Units.
To use the same Unit name with a different interface, the new Unit
must be stored in a different Library.
library x
y
unit a b a a
Notes:
1-21 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-22 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-23 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-24 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-25 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-26 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-27 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-28 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1. Save
HDL Designer
Design Data Directory
contains graphical data,
2. Generate side data, and meta data
(for graphical views) directory structure
3. Compile
Downstream Data Directories
any number of downstream
directories for tasks which
require working directories
1-29 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
[ModelSim]
Optional
Mappings
[Precision] - Downstream Data Directories
[Leonardo]
1-30 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
HDL Mapping
HDL Designer
Design Data
Mapping
Downstream
Mapping
(tool dependent)
1-31 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-32 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Design Data
This part is used by HDS to store
some data that may be needed
later.
– ngc file generated by CoreGen.
– edif file generated by synthesis tool.
– Simulation result files.
– sdf back-annotation file for gate
level simulation.
– Tcl generated scripts.
– PSL files
♦ User Data
Reserved for the designer to store
any kind of design relative data.
Folders and sub-folders can be
created by the user.
File can be copied or referenced.
♦ The Side Data contents can be
optionally versioned with the
source data.
1-33 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ It is tool dependent.
Use tabs to switch
between downstream
tool data.
1-34 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
1-36 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-37 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
NOTE:
It is possible to name the unit and
place it in a library as you open it.
1-38 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-39 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1-40 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR
1-41 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
1-43 • HDL Designer Series: The Basics Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
2-2 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
or
2-3 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-4 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-5 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add Block
Add Block
or Add > Block
Note: You can resize the Block when you add it.
2-6 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-7 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add Component
Add Component
2-8 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-9 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add ModuleWare
Add ModuleWare
2-10 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add Signal
Add Signal with a Port
Add Bus
Add Bus with a Port
Add Bus with a Ripper
Notes:
2-12 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1. Add a bundle.
2-13 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2. Create a bundle.
2-14 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-15 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
Interactive Routing
Interactive Routing
♦ Choose routing
style while
dragging blocks or
components.
♦ Press Z to choose
routing style:
River
Diagonal
Diagonal Ends
Dog Leg
♦ Press Ctrl-r to
clean up routing
after releasing
ghosted object.
2-17 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2. Explicit Connection
3. Through Embedded
Block (to connect signals
with different names)
2-18 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Block Properties
Block Properties
-1- The object must be selected
first in both cases.
-2-
2-19 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Different Generics/Parameters
pre-defined declarations dialog box
shapes
See Component
Properties slide.
VHDL attributes/
Synthesis constraints
2-20 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-21 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Component Properties
Component Properties
Generics/Parameters
are entered in the symbol
unlike the block
VHDL attributes/
Synthesis constraints
2-22 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Signal Properties
Signal Properties
2-23 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-24 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
User Declarations
User Declarations
2-25 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Object Visibility
Object Visibility
2-26 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-27 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-28 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-29 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-30 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Page Setup
Page Setup
File > Page Setup
Notes:
Page number
2-32 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add Panel
Add Panel
Add > Panel
2-33 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Visualize/Edit IBD
Visualize/Edit IBD
2-34 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-35 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
General Preferences
Default Settings
2-36 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-37 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-38 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Package References
Package References
Diagram > Package References
or
Just double-click on
Package List.
2-39 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-40 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR…
Just double-click
on the block.
2-41 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Navigate: Going Up
Navigate: Going Up
OR simpler...
Open Up on a component
takes you to the symbol.
2-42 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Navigate: Back/Forward
Navigate: Back/Forward
Back
Forward
2-43 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Ctrl + MMB
enables
panning.
2-44 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Reconcile Interface
Reconcile Interface
Interface Discrepancy between the symbol and the block diagram
2-45 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-46 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-47 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
If you rename a signal that enters a language block, the interface of the block is modified,
but not the HDL. You get a warning that the HDL must be modified accordingly!
2-49 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Net Insert/Delete
up/down.
♦ The net inserted can be
highlighted.
♦ You can verify before
applying the change.
RMB > Insert Net > Hierarchical
2-50 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2-51 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Blocks replaced
♦ Hierarchy created
2-52 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Remove Hierarchy
1. Select Block
RMB > Re-level > Remove Hierarchy
2-53 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Remove Hierarchy
2. Confirm Deletion
2-54 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Save design as
Library
Design Unit (entity/module
name)
View (architecture)
2-55 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Lab 2: Overview
Lab 2: Overview
♦ The purpose if this lab is to familiarize you with the Block
Diagram editor.
♦ First, you will invoke HDL Designer and create a new project.
♦ Then you will draw a block diagram for the top level of a
design that generates an approximation of a wave generator.
2-56 • HDL Designer Series: Block Diagrams Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
or
3-2 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Storage
Mealy
Combinational
Φ Clock
Inputs
Next Current
Output
state state
Input Output
Inputs Forming Forming
Logic Logic Outputs are dependant
On State and Inputs.
Storage Combinational
3-3 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-4 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Output assignment
in the states
Moore Mealy
Mixed
Output assignment
in the transitions
Output assignment
in both states and
transitions
3-5 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
condition
Simple state
Initial Startup
of Machine State
Transition
Interrupt point
Link
Junction
priority
Transition: Change of States – may include action.
Link: To a state (including hierarchical) or a
action junction.
Junction: Connection to transitions common
to more than one state.
Wait state Hierarchical state Interrupt: Applies to all states.
State: Simple, Hierarchical or Wait.
3-6 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Entry point
Exit point
3-7 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-8 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-9 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Options > Master Preferences > State Diagram > Diagram > State Machine Properties >
Default Settings > VHDL/Verilog Wait States State Machine > Generation > Advanced >
Wait State Settings
3-10 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Re-Leveling
Re-Leveling
3-11 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-12 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-13 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-14 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Junction/Link Properties
Junction/Link Properties
You can specify a name
- It does not appear in the
generated HDL
- Can be a link target
3-15 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-16 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-17 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3 Processes
- Clocked
- Nextstate
- Output Merged with
2 Processes
3-18 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Interrupt handling
Default: HDL Designer generates a enumerated type Choose Override versus exclusive if-then-else
with a type enumeration for each state. statement
Specify Type:
- A custom type can be used.
- Hard encoding is necessary (see later).
Assign value to output port: The specified port (*): Using keyword (pragma/synopsys/
type is used. The current_state is assigned to exemplar/synthesis) Options > VHDL >
that port. Style > Pragma Setup
3-19 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-20 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
User-defined architecture
declarative section.
3-22 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-23 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Attribute Scheme
- syn_encoding (Synplify): supports
Sequential,
1-Hot, Gray, or any other specified style.
Optionally allows “Safe” style.
- type_encoding_style (Leonardo Spectrum
and
Precision Synthesis): supports Binary
1-Hot, 2-Hot, Gray, Random, or any other
style.
- enum_encoding (Synopsys): supports
Sequential, 1-Hot, 2-Hot, Gray,
Johnson, or manual style.
- type_encoding (Leonardo Spectrum and
Precision Synthesis): supports Sequential,
1-Hot, 2-Hot, Gray, Johnson, or manual
style.
3-24 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Scheme
- Specified: Specify Sequential, 1-Hot,
2-Hot, Gray, Johnson, or Manual styles.
3-25 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-26 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3 Always Blocks
- Clocked
- Nextstate Merged with
- Output 2 Blocks
Assignment Type
- Blocking
- Non Blocking
- Mixed
3-27 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-28 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Pragma Scheme
- enum (Precision Synthesis): supports Binary,
1-Hot, 2-Hot, Gray, Random, or other specified
style.
- syn_encoding (Synplify): supports Sequential,
1-Hot, Gray, or other specified style.
Optionally allows “Safe” style.
3-29 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Signal Status
Signal Status
Signal Declarations Signal Status
OR
3-30 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-31 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Outputs Signals:
Reset Value:
Registered, Combinatorial, or
Required for all
Clocked
Clocked and
Local Signals:
Registered signals
Combinatorial or Clocked
3-32 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
output
Inputs nextstate clocked
Notes:
Unchecked
by default.
Different behavior at
clk
current_state S2:
reset
Combinatorial and
Registered Outputs change z_comb
to their default value. z_reg
Clocked Outputs hold their z_clocked
value until a new value is
current_state s0 s1 s2 s3 s0
assigned.
next_state s1 s2 s3 s0 s1
One clock period delay is added to the Registered and Clocked Outputs
versus Combinatorial Output.
3-35 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
z_clocked
current_state s0 s1 s2 s3 s0
next_state s1 s2 s3 s0 s1
3-36 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
Execution Priority
(Highest at the Top)
Reset actions (jumps to Start State)
State actions
Global actions
3-38 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add Machine
Shared:
♦ Interface Delete Machine
♦ Package list
♦ Concurrent statements
♦ Architecture/Module declarations
Separate:
♦ Global actions
♦ HDL generation options
♦ State encoding Add > Concurrent State Machine [Ctrl-F2]
♦ Process declarations
Notes:
♦ Treated as a single design object (one HDL file generated, all concurrent state
machines are saved when any state diagram is saved).
♦ State names must be unique.
♦ Cannot create links between concurrent state machines.
3-39 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-40 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Expression Builder
Expression Builder
1. Double-click transition
expression to edit.
3-41 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Polyline
style
Spline
style
3-42 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3-43 • HDL Designer Series: State Machines Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
Graphical Editors:
– Block Diagram and IBD View Editors
– Flow Chart Editor
– Truth Table Editor
– State Diagram Editor
4-2 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-3 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Customizable menu
Code Browser
Language Templates
4-4 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Outline
Mode
4-5 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Highlights differences
Selectively apply
changes to either file
4-6 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-7 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Completion of words
Ctrl+Spacebar
Select to complete
4-8 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-9 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-10 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
or
4-11 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add >
Start-End Points
Action Box
Hierarchical Action Box
Decision Box
Wait Box
4-12 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ End Point
Ends a flow chart
May have multiple end points
4-13 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Action Box
Sequential statements
Assignments
Object ID (default => “ax”)
♦ Condition Box
Branches on value of condition
Must branch forward in Flow Chart
Object ID (default => “dx”)
Swappable True/False assignment
4-14 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-15 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Wait Box
Inserts wait conditions
Wait for
– Time, forever, until
– Clock edge
– Signal
Object ID (default => “wx”)
♦ Start Loop Box
Beginning of loop statement
Contains loop expression
Object ID (default => “Ix”)
♦ End Loop Box
Delineates end of loop
Only method of returning
flow “upstream”
4-16 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-17 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
4-19 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-20 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-21 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Case
4-22 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Wait Box
Loop
4-23 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Decision Box
off on
4-24 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
case
casex
casez
As is
Case
// pragma full_case
// pragma parallel_case
// pragma parallel_case full_case
As is
4-25 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Wait Box
Loop
4-26 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Decision Box
off
on
4-27 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-28 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-29 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-30 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-31 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-32 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-33 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-34 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
4-36 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-37 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-38 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Only one
process
Created.
4-39 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Separate:
♦ Sensitivity List
♦ Process Declarations Add > Concurrent Flow Chart [Ctrl-F2]
Notes:
♦ Treated as a single design object (one HDL file generated, all concurrent flow
charts are saved when any flow chart is saved).
♦ Object names must be unique.
♦ Separate VHDL process or Verilog always/initial block for each flow chart.
4-40 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
or
4-41 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Truth Table
Truth Table
Notes:
Output
Columns
Input
Column
Input Output
Expression Expressions
4-43 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
Specify Sequential or
Combinatorial behavior.
4-45 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-46 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-47 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4-48 • HDL Designer Series: Design Creation Editors Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
• Create/edit a Symbol
• Understand Symbol notation
• Know how to use the Symbol Editor
• Know how to create a custom Symbol
• Know how to access Symbol properties
• Know how to access the Interface Editor
Notes:
Double-click on symbol.
5-3 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Symbol Notation
Symbol Notation
5-4 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Add >
Comment Text
Input Port
Output Port
Inout Port
Buffer Port
5-5 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Symbol Autoshapes
Symbol Autoshapes
5-6 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
5-7 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
5-8 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Line Rectangle
Polyline Ellipse
Arc Circle
Polygon
Grouping
Order
Rotate
Flip
5-9 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
5-10 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Symbol Properties
Symbol Properties
RMB > Object Properties
5-11 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Tabular IO view.
Symbol Editor.
Alternative view for the
symbol in tabular IO
format.
5-12 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
5-13 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Generics Table
5-14 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
cs : std_logic
datout : std_logic_vector(7:0) USE ieee.std_logic_arith.all; delay integertime 10 ns
width positive 16
or
datin : std_logic_vector(7:0) Declarations
int : std_logic
nrw : std_logic Ports:
-- 3-bit address bus
rst : std_logic addr : IN std_logic_vector (2 DOWNTO 0) ;
sout : std_logic clk : IN std_logic ; -- 10 MHz clock
sin : std_logic
cs : IN std_logic ; -- chip select
-- 8-bit data in bus from cpu
datin : IN std_logic_vector (7 DOWNTO 0) ;
nrw : IN std_logic ; -- read(0), write(1)
rst : IN std_logic ; -- reset(0)
sin : IN std_logic ; -- serial input
-- 8-bit data out bus to cpu
datout : OUT std_logic_vector (7 DOWNTO 0) ;
int : OUT std_logic ; -- interrupt (1)
sout : OUT std_logic -- serial output
Double-click User:
attribute array_pin_number of count: signal is ("P1", "P2", "P3", "P4");
attribute required_time of count: signal is 3 ns;
5-15 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Lab 3: Overview
Lab 3: Overview
♦ In lab 2 you created a top-level block diagram for the
wave_gen design. Make sure you finished lab 2 successfully!
5-16 • HDL Designer Series: Editing Symbols/Interfaces Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
Style 1 Style 2
6-2 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
DUT
2.
or 3. UART
6-3 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-4 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-5 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Simulators Interface
Simulators Interface
♦ HDL Designer supports integration with downstream tools for
HDL simulation.
♦ You can choose your simulation tool from within “HDS Setup
Assistant Wizard”
6-6 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-7 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ The task manager contains user tasks for HDL generation, compiling
for, or invoking the ModelSim simulator, preparing data, invoking, or
running flows with Precision Synthesis, and several other tasks.
Drag and drop Team Specific
tasks from “My Tasks also
Tasks” to the available.
Shortcut Bar.
6-8 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1. select
♦ Compile and Invoke Settings display defaults from Help > HDS Setup Assistant.
6-9 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-10 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
or
6-11 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Clear
Close Page
Next Warning
Previous Warning
Previous Error Next Error
6-12 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Stay Minimized/Closed
6-13 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Step Over
Continue
Run Forever
run 100
run 200
run 300 All these simulator commands
run 400
Choose are available from HDL Designer.
Default
6-14 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2. Add Wave
1. Select the signals Delete Wave
in the block diagram.
6-15 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Signal Info
6-16 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-17 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-18 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-19 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-20 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-21 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-22 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Current
Previous
Previous
Notes:
Goto Latest
Goto Start
Goto Time
Movement
Move By States
Move by Events
Move By Clocks
Goto Next
6-24 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-25 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-26 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-27 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Compile Elab/load
vcom/vlog vsim 2
1
Enable
Coverage Coverage
Options
6-28 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Enable
1 2 Coverage
Coverage
Options
♦ Options passed
to vopt via vsim
-voptargs
6-29 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
6-30 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Coverage Options
Coverage Options
+cover= Specify the coverage metrics you want to collect (next slide)
+<dut name>. Specify the design units, you want to collect metrics on
Note the dot “.” this tells the simulator to apply coverage hierarchally
-coverexcludedefault Do not collect coverage on default statements
-coverage turns on the coverage, you can also use the tick box on the GUI.
-noincr disables vopt reusing previously optimized blocks
6-31 • HDL Designer Series: Simulation Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Coverage +cover
Coverage +cover
♦ Define Code Coverage metrics to collect using +cover
+cover[=<spec>][+<module>[.]]
Notes:
Lab 4 Overview
Lab 4 Overview
♦ Having completed the first three labs, you now have a block
diagram called wave_gen that describes the top level of the
system.
♦ The purpose of this lab is to familiarize you with the flow chart
editor, plus creating and using a test bench.
Notes:
Objectives
Upon completion of this module, you will be able to:
7-2 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Label
Change Lock Synchronize
Status
Get History
Check Out
Compare against
Check In repository
7-3 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Setup
Setup
Options > Version Management
7-4 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Check In
Label (optional)
Description (optional)
To re-use the last
description.
7-5 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Check In (Cont.)
Check In (Cont.)
Notes:
Check Out
Check Out
♦ Check Out selected objects from repository using
latest/specified version
Will replace any “read-only” copies which exist in workspace
Cannot check out a file if it is already “editable”
Single level or
hierarchy and
packages.
Latest or specified
version.
7-7 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Get
Get
♦ Get performs check-out without a “lock”, creating “read-only”
copies.
Check-out the selected objects from the repository using latest or
specified version as read only
Not available for ClearCase
and Subversion.
7-8 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Change Lock
Change Lock
♦ Lock makes selected objects in workspace “editable”.
♦ Unlock makes selected objects in workspace “read-only”.
Lock or Unlock
7-9 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Label
Label
♦ Add/Remove/Overwrite a
symbolic name for selected
objects.
The label is used to identify a
set of version controlled
design objects which may have
different individual version
numbers, but share a common
label.
Not available Subversion.
Add/Remove/Overwrite a label
with a user provided “Label”.
7-10 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Synchronize
Synchronize
♦ Performs a check-out without a lock.
Existing “read-only” objects are overwritten.
Existing “editable” objects ignored.
Option to update
workspace with
missing files.
7-11 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Status
Status
♦ Show status of the selected object.
1. Choose options
2. Status displayed
7-12 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
History
History
♦ Show the version history of selected object.
Summary
Details
7-13 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Check In
Check Out
Get
Undo Check
Out (Revert)
Change
Lock
Adding
Label
Synchronize
Report
Status
Reporting
History
Compare
Create a
Branch
Delete
7-14 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-15 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-16 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-17 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
SVN Repository
SVN Repository
7-18 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-19 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-20 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Commit in SVN
Commit in SVN
♦ “Commit” in SVN is the same as “check in” in other systems.
Before
7-21 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
After
7-22 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-23 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-24 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-25 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-26 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Reverting Modifications
Reverting Modifications
♦ After modifying your design and before committing the
change, you can revert all the changes.
7-27 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Graphical Textual
Treated as
Saved as
binary
text files
files.
Can’t be Can be
merged merged
Require Do not
require
lock lock
Graphical Objects need lock
Read only Editable
after after
commit commit
7-28 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Handling Conflicts
Handling Conflicts
♦ When two users try to commit their changes in the same text files
(both versions are editable in the workspace)
♦ TkDiff” can be used to display the differences made by the two
users.
♦ TkDiff is invoked
After comparing your
file with the one in the
Repository.
7-29 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-30 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR
7-31 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR
7-32 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR
7-33 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR
7-34 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7-35 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR
7-36 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
OR
7-37 • HDL Designer Series: Version Management Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
8-2 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
8-3 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
8-4 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Copy Files or
reference in place
Notes:
8-6 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
8-7 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
8-8 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Imported
design units
Top level
Design hierarchy
Imported
HDL files
8-9 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Visualization Entry
Done in
previous
steps 1-4
Read Edit Edit
Code Graphics Text
Generate
Visualize Convert
Code
View HDL
8-10 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Visualize Design
Visualize Design
2. Select
Document
& Visualize
8-11 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Visualize Options
Visualize Options
3.
4.
8-12 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Visualization Results
Visualization Results
♦ Results placed in Files window of Design Explorer
8-13 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
8-14 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Convert to
Graphics requires
more options
specifying which
Hierarchy options
graphical views
will be used to
describe:
The hierarchy
8-15 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Additional options
Structural
Diagram
options
8-16 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Interactive Routing
Interactive Routing
Choose routing
style while
dragging blocks or
components.
♦ Press Z to
choose
routing style:
River
Diagonal
Diagonal Ends
Dog Leg
♦ Press Ctrl-r to
clean up
routing after
releasing
ghosted object.
8-17 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Lab 5 Overview
Lab 5 Overview
♦ The purpose of this lab is to familiarize you with the
functionality to add and visualize HDL files.
8-18 • HDL Designer Series: Add HDL Files/Visualization Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
Top-Down Design
Top-Down Design
♦ Top-Down Design Flow:
Concept
Define design interface to external world
Define high-level tasks
Define interfaces between high-level tasks ?
Design high-level tasks in behavioral VHDL
– Early Simulation of Dataflow
Develop sub-tasks for each task
RAM
– Define sub-tasks I/O
– Define interfaces CPU
– Develop sub-sub-tasks
– Iterate as necessary
♦ Advantages:
Divides large designs into easily grasped sections
Tasks may be assigned to different work groups early in design
cycle
9-2 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-3 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-4 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
What Is ModuleWare
What Is ModuleWare
♦ Swiftly add common
functionality to your
design
Over 120 models
Parameterizable
– Generates optimized
HDL code
VHDL and Verilog
High quality
synthesis
results
Vendor & technology
independent
Reference Guide
♦ Drag and drop into:
IBD
Block Diagram
DesignPad
9-5 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Double-click
9-6 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-7 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-8 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-9 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-10 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-11 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-12 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-13 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
HDS InfoHub: Application Notes > “HDL Designer Vivado interface common flows”
HDS InfoHub: Application Notes > “Designing with Xilinx's Coregen Components within HDL Designer”
HDS InfoHub: Application Notes > “Designing with Altera’s NIOS II embedded processor in HDL
Designer”
9-14 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-15 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1
Invoke the tool
2
• Select the family,
from My Tasks
language, synthesis
or the Shortcut
tool, library etc.
Bar
• Invoke the Xilinx
Coregen Tool
9-16 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4
3 • Set component
Specify the properties
Core Type • Generate the
component
9-17 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ A symbol is automatically
created.
Ready for instantiation.
The Library reference to
Xilinx Core Lib is
automatically added.
Notes:
9-19 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-20 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-21 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-22 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-23 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-24 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-25 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1
Select the top
design unit
and press
Xilinx Vivado
Flow
9-26 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
4
Customize the
IP
9-27 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
5 6
• In the Flow • In the Import
Page, select Page, select
import IP and copy files and
launch the tool in compile the
Batch mode instantiated IP
9-28 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
7
• In the IP Import
Dialog, select all
available IPs
♦ In HDL Designer
9-29 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2
1 Create a new
Invoke the tool megafunction
from My Tasks or import an
or the Shortcut existing one.
Bar 2
3
• Select Application
(Quartus/Quartus
II or Max+PLUS
II).
• Specify the
destination library.
9-30 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Starting from
In Quatrus II Quatrus II
version 13.0 an earlier version 14.0
9-31 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1 2
Invoke Select the
Quatrus II, device family
open the IP
Catalog
3
Select the
Megafunction
9-32 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-33 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Browse to where
you saved your
variation
1
Select the top
design unit
and invoke
Altera
MegaWizard
2
Import the new
megafunction
9-34 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-35 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-36 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3. Copy or Reference
the Files
New Gate Level Netlist
9-37 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Simulation Comparison
Simulation Comparison
♦ There is an easy way to validate the post-synthesis (eventually,
back-annotated simulation) results using the waveform
comparison feature of ModelSim / QuestaSim.
9-38 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-39 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-40 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
9-41 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Lab 6 Overview
Lab 6 Overview
♦ The purpose of this lab is to familiarize you with the Xilinx
CORE Generator or Altera MegaWizard integration of HDL
Designer.
♦ You will modify the Wave Generator you created in labs 2-4 to
add a component from either the Xilinx CORE Generator/
Vivado or the Altera MegaWizard, as appropriate.
♦ Finally, you will add the gate level netlist and timing to the
design and compare RTL simulation results against post-
layout simulation results in ModelSim.
9-42 • HDL Designer Series: IP and Vendor Flows Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
Resource Settings
Resource Settings
Resource settings allow:
1. Setting the design environment (text editor, third party tools)
2. Defining the graphical environment
3. Controlling code generation format
♦ Tool Settings
Compiler, Simulator, Synthesizer, Custom tools
♦ General Preferences
Text Editor, Check, Save
♦ Editors
Structural Diagram, Interface, State Diagram, Flow Chart, Truth Table
♦ Code generation
VHDL, Verilog
♦ Two levels of Resources:
Resource settings can be set by
User Resources individual users or by teams.
Team Resources
10-2 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Team Requirements
Team Requirements
Internal IP Web Review Documentation
♦ Requirements:
Common
environment
Data
management
Repeatable
flows
Design
10-3 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Team Administrator
User A
User can
reference
the team
User B resources
directory
location.
User C
e.g. Windows 7:
C:\Users\<username>\AppData\Roaming\
HDL Designer Series\hds_user
10-4 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-5 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-6 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-7 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-8 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-9 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-10 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Specify an alternative
directory for the temporary
files created during HDL
compilation
10-11 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-12 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-13 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-14 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
♦ Auto-save
♦ Create backup file
♦ Update symbol/Interface
♦ Update view
10-15 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Options > Main > User Variables ♦ Allows you to enter variables
as name and value pairs.
♦ To use a variable, enter the
variable name preceded by the
% character.
10-16 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Code Generation
Code Generation
♦ HDL File naming convention.
Based on the file type (entity, architecture, package)
Split or combined
Case control
♦ Coding style setting.
Keyword case control
indentation
♦ HDL Generation options.
Language dependent, Script creation process
♦ The coding style can also be controlled from the editors (e.g.
SM coding style) to abide by the Corporate standards as much
as possible.
♦ The HDL code generation can be interrupted by holding down
the Esc key (only on Windows, not on Linux).
10-17 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
- Naming convention
- Syntax and coding style
- Flow requirements
10-18 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-19 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-20 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-21 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-22 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-23 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-24 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-25 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-26 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-27 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-28 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
In In
User Mode Team Mode
10-29 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
10-30 • HDL Designer Series: Setup and Team Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
11-2 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-3 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-4 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Configure Ruleset(s)
Setup:
Designer/Manager
Define Policies
Run Checks
Results:
Designer
Analyze Results
11-5 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
DesignChecker Node
DesignChecker Node
♦ Includes Policies, Rulesets, Master Clocks
and Resets, and Exclusion Pragmas
♦ HDL Designer Explorer Mode
Change the path to policies
Set a default policy
Change the path to rulesets
Set master clocks and resets
Enable/disable the DesignChecker
checking_off/on exclusion pragma
♦ Invoke DesignChecker to manage policies
and Rulesets
11-6 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-7 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Built-In Rulesets
Built-In Rulesets
♦ Supports out-of-the-box use
Reuse Methodology Manual- 3rd Edition
Altera
Xilinx
Safety-Critical
DO254 – extends Safety Critical
Checklist – 0-in checks
Essentials – default, core checks
writeable
Notes:
♦ Create ruleset(s)
A ruleset is simply a folder
Use good organization
– Descriptive naming
– Use hierarchy
– Allows convenient on/off ability
– The hierarchical groupings can also
be called rulesets
♦ All ruleset names within a hierarchy
must be unique
♦ Drag and drop base rulesets and
rules into your own rulesets
♦ Change rule parameters
11-9 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
DesignChecker Options
DesignChecker Options
11-10 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-11 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Editing Parameters
Editing Parameters
♦ Within the Parameter window
Enter strings
Select from dropdown lists
Choose from dialog boxes
Example 1:
11-12 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Double-click to open
11-13 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-14 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
New Tab
with Results
11-16 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Results Summary
Results Summary
Design Information
Design Quality
11-17 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Violations by Rules
Violations by
Design Units
11-18 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-19 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Fix Violations
Fix Violations
Hierarchical Columns
Code Snippet
Hint
11-20 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Trace to Graphics
Trace to Graphics
♦ Correct graphically
♦ Re-run DesignChecker (code automatically regenerated)
11-21 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-22 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-23 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
DesignChecker Viewpoints
DesignChecker Viewpoints
♦ Viewpoints allow you to sort and filter check reports in
differing ways:
♦ Severity and Ruleset ♦ Severity and File
11-24 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
11-25 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Export Results
Export Results
11-26 • HDL Designer Series: DesignChecker Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
Edit/Visualize
as IBD
12-2 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
12-3 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
12-4 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
IBD Elements
Unconnected
IBD Elements Blocks, IP, Initial
ports components Value
Port maps
12-5 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
IBD Features
IBD Features
♦ Multi-Level Grouping
Columns/nets
Any number of levels
Group Name plus optional group comments
♦ Multi-level Filtering
♦ Automatic and manual “drag and drop” row ordering
♦ Move columns/rows
♦ Show/Hide columns
♦ Sort columns/rows
♦ Auto-Size
♦ Split Window
♦ Control cell appearance
♦ Rapid entry:
Single-click edit
Context-sensitive dropdown menus
Spreadsheet-like (series fill, drag fill, drag select, etc.)
Copy/Move Here
12-6 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Grouping
Grouping
♦ Row grouping
Grouping rows of signals.
Useful for analysis and
organization of a large
design.
Group I/O, bus signals,
etc.
Groups can be labeled
with multi-line comments.
12-7 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Grouping (Cont.)
Grouping (Cont.)
♦ Column grouping
Group columns of instances, user columns, etc.
Helps with organization.
Groups can be nested.
12-8 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Hide/Show Columns
Hide/Show Columns
1. Select columns.
2.
3.
12-9 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Filter Columns/Rows
Filter Columns/Rows
♦ Display and work on a subset of the design.
♦ Save as IBD viewpoint to provide persistent views of filtered data.
♦ AND/OR logic filtering.
♦ Perform any combination of row/column filtering and show/hide
contents.
or
Data > Filters > Filter Settings
Data > Filters > Filter Rows and Columns
12-10 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Filter Rows
Filter Rows
1. Enter a simple
match string.
2.
12-11 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Create Viewpoint
Create Viewpoint
or
12-12 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Visualization
Visualization
♦ A filtered IBD view can be visualized as a block diagram.
12-13 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Net-Centric Connectivity
Net-Centric Connectivity
Expanded to see
Port and/or Actual.
12-14 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Number of
unconnected.
Expanded to
show details. Filter on
direction.
Connect to new/existing
nets or expressions.
12-15 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Quick Connect
Quick Connect
♦ Rapidly connect
multiple ports
across the table.
♦ Select 2 or more
unconnected
ports or
1 net and 1 or
more
unconnected
ports.
LMB to select
first item,
Ctrl+LMB to
select
additional
items.
♦ RMB > Connect
12-16 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
12-17 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Signal Busses
Signal Busses
♦ Individual bits or slices can be ripped from a bus.
♦ Busses or slices can be parameterized.
2. or Add > Slice
4. Connect
as desired.
3. Specify index
or slice range.
12-18 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Bundles in IBD
Bundles in IBD
2.
♦ Visually represent
several signals between
blocks.
♦ Similar to block diagram
implementation.
♦ Net declarations
separate from bundle.
3. Signals in bundle.
12-19 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3. Enter or
2. Enter select signal
expression. or expression.
4. (optional) Select
expression row, Add >
Slice, make selection
in new expression row.
5. Resulting code.
12-20 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Generate Frames
Generate Frames 2.
12-21 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
12-22 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
1. Toggle
row on/off
with toolbar
button.
12-23 • HDL Designer Series: Interface Based Design Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
13-2 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-3 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-4 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-5 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-6 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Link to file
13-7 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Notes:
13-9 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-10 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
or
13-11 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-12 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-13 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-14 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
• Diagram
• Information
• Side Data
• HDL
13-15 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-16 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Viewpoint Management
Viewpoint Management
Create, activate,
rename, delete
viewpoints.
Drag viewpoints
into shortcuts bar.
Change viewpoint:
- RMB > <viewpoint>
- Select in shortcuts bar
13-17 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Viewpoint – Columns
Viewpoint – Columns
♦ Specify desired
columns.
♦ Click on column
heading to toggle
sort ascending /
descending.
♦ Drag and drop
column headings
to change order.
13-18 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Viewpoint – Groups
Viewpoint – Groups
♦ Summary information.
♦ Group order determined
by order of clicking check
boxes .
♦ Can change group order
with up / down arrow
buttons.
13-19 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Viewpoint – Filters
Viewpoint – Filters
Enable Filters
13-20 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Results displayed in
a Design Explorer
“Search” tab.
13-21 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-22 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
13-23 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Lab 7 Overview
Lab 7 Overview
♦ Create documentation for the Wave Generator you created in
labs 2 – 4 and lab 6.
13-24 • HDL Designer Series: Documentation and Viewpoints Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Objectives
Upon completion of this module, you will be able to:
What Is ReqTracerTM?
What Is ReqTracerTM?
♦ ReqTracerTM is an interactive requirements tracing and analysis tool.
Traces requirements from the system level into design implementation
and verification details.
A1-2 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
ReqTracer Overview
ReqTracer Overview
♦ Start a new Project
♦ Add Documents
Covering document:
document that contains Traceability Description Area
references to
requirements that are
Action buttons
defined
in another document
A1-3 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Covered Covered
Requirement IDs
A1-4 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-5 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-6 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-7 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-8 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-9 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
2. Edit Types in
Configuration
dialog box.
A1-10 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
5. Edit the
Project
settings.
Notes:
2. Specify path.
3. The requirements
referencing menu
items will appear
the next time
HDS is invoked.
4.
A1-12 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-13 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-14 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
3. Specify location in
4. Attach to object the generated HDL.
in graphical design.
A1-15 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
or
2.
1.
A1-16 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-17 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
A1-18 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
Refreshing
Refreshing
View > Refresh (F5)
♦ Finally, refresh the HDS library to view the requirements
coverage information.
♦ HDS invokes ReqTracer to get the coverage information and
display it in the Requirements References Column in the HDS
Design Explorer.
A1-19 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
or
A1-20 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes:
ReqTracer Benefits
ReqTracer Benefits
♦ ReqTracer bridges the gap
between design spec and
requirements to enhance both
traditional (directed test) and
advanced verification
productivity and effectiveness.
♦ ReqTracer provides an
interactive tool to help
implement and track a
requirements driven project
development process and
facilitate continuous process
improvement.
A1-21 • HDL Designer Series: Tracing Requirements Copyright © 1991-2015 Mentor Graphics Corporation
Notes: